Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends
Published 2013 View Full Article
- Home
- Publications
- Publication Search
- Publication Details
Title
Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends
Authors
Keywords
-
Journal
JOURNAL OF APPLIED PHYSICS
Volume 113, Issue 2, Pages 021301
Publisher
AIP Publishing
Online
2013-01-09
DOI
10.1063/1.4757907
References
Ask authors/readers for more resources
Related references
Note: Only part of the references are listed.- Hybrid Organic/ZnO p-n Junctions with n-Type ZnO Grown by Atomic Layer Deposition
- (2016) G. Łuka et al. ACTA PHYSICA POLONICA A
- Characterization of ZnO Films Grown at Low Temperature
- (2016) E. Przeździecka et al. ACTA PHYSICA POLONICA A
- ZnO by ALD - Advantages of the Material Grown at Low Temperature
- (2016) E. Guziewicz et al. ACTA PHYSICA POLONICA A
- Atomic layer deposition: A versatile technique for plasmonics and nanobiotechnology
- (2012) Hyungsoon Im et al. JOURNAL OF MATERIALS RESEARCH
- The Surface Chemistry of Atomic Layer Depositions of Solid Thin Films
- (2012) Francisco Zaera Journal of Physical Chemistry Letters
- Diffusion phenomena in atomic layer deposition
- (2012) Mato Knez SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Atomic-scale simulation of ALD chemistry
- (2012) Simon D Elliott SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Atomic layer deposition of rare-earth-based binary and ternary oxides for microelectronic applications
- (2012) C Wiemer et al. SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing
- (2012) J A van Delft et al. SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Tailoring nanoporous materials by atomic layer deposition
- (2011) Christophe Detavernier et al. CHEMICAL SOCIETY REVIEWS
- Molybdenum Atomic Layer Deposition Using MoF6and Si2H6as the Reactants
- (2011) D. Seghete et al. CHEMISTRY OF MATERIALS
- Atomic layer deposition: state-of-the-art and research/industrial perspectives
- (2011) Elia Marin et al. CORROSION REVIEWS
- Controlling the Crystallinity and Roughness of Atomic Layer Deposited Titanium Dioxide Films
- (2011) Riikka L. Puurunen et al. JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY
- Metalcones: Hybrid Organic–Inorganic Films Fabricated Using Atomic and Molecular Layer Deposition Techniques
- (2011) Steven M. George et al. JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY
- Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
- (2011) H. B. Profijt et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Growth Kinetics and Oxidation Mechanism of ALD TiN Thin Films Monitored by In Situ Spectroscopic Ellipsometry
- (2011) H. Van Bui et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Influence of organozinc ligand design on growth and material properties of ZnS and ZnO deposited by atomic layer deposition
- (2011) Jukka T. Tanskanen et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges
- (2011) H. B. Profijt et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Atomic layer deposition for electrochemical energy generation and storage systems
- (2011) Qing Peng et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Atomic layer deposition for nanostructured Li-ion batteries
- (2011) H. C. M. Knoops et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Novel materials by atomic layer deposition and molecular layer deposition
- (2011) Markku Leskelä et al. MRS BULLETIN
- Advanced process technologies: Plasma, direct-write, atmospheric pressure, and roll-to-roll ALD
- (2011) W.M.M. (Erwin) Kessels et al. MRS BULLETIN
- ALD for clean energy conversion, utilization, and storage
- (2011) Jeffrey W. Elam et al. MRS BULLETIN
- Surface modification and fabrication of 3D nanostructures by atomic layer deposition
- (2011) Changdeuck Bae et al. MRS BULLETIN
- Progress and future directions for atomic layer deposition and ALD-based chemistry
- (2011) Gregory N. Parsons et al. MRS BULLETIN
- Nanoengineering and interfacial engineering of photovoltaics by atomic layer deposition
- (2011) Jonathan R. Bakke et al. Nanoscale
- Low-temperature atomic layer deposition of ZnO thin films: Control of crystallinity and orientation
- (2011) Jari Malm et al. THIN SOLID FILMS
- Metal Oxide Microrings with Femtoliter Capacity for Raman Microspectroscopy
- (2010) Ivano Alessandri et al. ACS Applied Materials & Interfaces
- In Situ Reaction Mechanism Studies on Ozone-Based Atomic Layer Deposition of Al2O3 and HfO2
- (2010) Martin Rose et al. ACS Applied Materials & Interfaces
- Rapid Silica Atomic Layer Deposition on Large Quantities of Cohesive Nanoparticles
- (2010) Xinhua Liang et al. ACS Applied Materials & Interfaces
- Transparent, Well-Aligned TiO2 Nanotube Arrays with Controllable Dimensions on Glass Substrates for Photocatalytic Applications
- (2010) Lee Kheng Tan et al. ACS Applied Materials & Interfaces
- Protecting Polymers in Space with Atomic Layer Deposition Coatings
- (2010) Timothy K. Minton et al. ACS Applied Materials & Interfaces
- Profile Evolution for Conformal Atomic Layer Deposition over Nanotopography
- (2010) Erin R. Cleveland et al. ACS Nano
- Synthesis of Nested Coaxial Multiple-Walled Nanotubes by Atomic Layer Deposition
- (2010) Diefeng Gu et al. ACS Nano
- Tunable Guided-Mode Resonance Grating Filter
- (2010) Adriana Szeghalmi et al. ADVANCED FUNCTIONAL MATERIALS
- Tuning the Composition and Nanostructure of Pt/Ir Films via Anodized Aluminum Oxide Templated Atomic Layer Deposition
- (2010) David J. Comstock et al. ADVANCED FUNCTIONAL MATERIALS
- High-Speed Spatial Atomic-Layer Deposition of Aluminum Oxide Layers for Solar Cell Passivation
- (2010) Paul Poodt et al. ADVANCED MATERIALS
- Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers
- (2010) Qing Peng et al. ADVANCED MATERIALS
- Atomic layer deposition (ALD) as a coating tool for reinforcing fibers
- (2010) A. K. Roy et al. ANALYTICAL AND BIOANALYTICAL CHEMISTRY
- Integrated Ultramicroelectrode−Nanopipet Probe for Concurrent Scanning Electrochemical Microscopy and Scanning Ion Conductance Microscopy
- (2010) David J. Comstock et al. ANALYTICAL CHEMISTRY
- Low-Temperature ABC-Type Atomic Layer Deposition: Synthesis of Highly Uniform Ultrafine Supported Metal Nanoparticles
- (2010) Junling Lu et al. ANGEWANDTE CHEMIE-INTERNATIONAL EDITION
- Optimal preparation of Pt/TiO2 photocatalysts using atomic layer deposition
- (2010) Yun Zhou et al. APPLIED CATALYSIS B-ENVIRONMENTAL
- Noble metal-modified TiO2 thin film photocatalyst on porous steel fiber support
- (2010) Hongfan Guo et al. APPLIED CATALYSIS B-ENVIRONMENTAL
- Highly dispersed Pt nanoparticle catalyst prepared by atomic layer deposition
- (2010) Jianhua Li et al. APPLIED CATALYSIS B-ENVIRONMENTAL
- Effect of gate dielectrics on the device performance of SnO2 nanowire field effect transistors
- (2010) Hyun Hee Park et al. APPLIED PHYSICS LETTERS
- The effect of graphite surface condition on the composition of Al2O3 by atomic layer deposition
- (2010) A. Pirkle et al. APPLIED PHYSICS LETTERS
- In situ synchrotron x-ray characterization of ZnO atomic layer deposition
- (2010) D. D. Fong et al. APPLIED PHYSICS LETTERS
- Low-voltage and short-channel pentacene field-effect transistors with top-contact geometry using parylene-C shadow masks
- (2010) Yoonyoung Chung et al. APPLIED PHYSICS LETTERS
- Deterministic tuning of slow-light in photonic-crystal waveguides through the C and L bands by atomic layer deposition
- (2010) Charlton J. Chen et al. APPLIED PHYSICS LETTERS
- TiO2-coated carbon nanotubes: A redshift enhanced photocatalysis at visible light
- (2010) Sheng-Yi Lu et al. APPLIED PHYSICS LETTERS
- Thickness dependence on crystalline structure and interfacial reactions in HfO2 films on InP (001) grown by atomic layer deposition
- (2010) Y. S. Kang et al. APPLIED PHYSICS LETTERS
- Selective atomic layer deposition of HfO2 on copper patterned silicon substrates
- (2010) Qian Tao et al. APPLIED PHYSICS LETTERS
- Suppression of substrate oxidation during ozone based atomic layer deposition of Al2O3: Effect of ozone flow rate
- (2010) Jinhee Kwon et al. APPLIED PHYSICS LETTERS
- Bilayer metal oxide gate insulators for scaled Ge-channel metal-oxide-semiconductor devices
- (2010) Shankar Swaminathan et al. APPLIED PHYSICS LETTERS
- Dielectric properties of Er−doped HfO2 (Er∼15%) grown by atomic layer deposition for high-κ gate stacks
- (2010) C. Wiemer et al. APPLIED PHYSICS LETTERS
- Giant dielectric constant dominated by Maxwell–Wagner relaxation in Al2O3/TiO2 nanolaminates synthesized by atomic layer deposition
- (2010) Wei Li et al. APPLIED PHYSICS LETTERS
- Origin and passivation of fixed charge in atomic layer deposited aluminum oxide gate insulators on chemically treated InGaAs substrates
- (2010) Byungha Shin et al. APPLIED PHYSICS LETTERS
- Characteristics of high-k Al2O3 dielectric using ozone-based atomic layer deposition for dual-gated graphene devices
- (2010) B. Lee et al. APPLIED PHYSICS LETTERS
- Very low surface recombination velocities on p- and n-type c-Si by ultrafast spatial atomic layer deposition of aluminum oxide
- (2010) Florian Werner et al. APPLIED PHYSICS LETTERS
- Reflection absorption infrared spectroscopy during atomic layer deposition of HfO2 films from tetrakis(ethylmethylamido)hafnium and water
- (2010) Brent A. Sperling et al. APPLIED SURFACE SCIENCE
- GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
- (2010) M. Bosund et al. APPLIED SURFACE SCIENCE
- Atomic layer deposition of HfO2: Effect of structure development on growth rate, morphology and optical properties of thin films
- (2010) Raul Rammula et al. APPLIED SURFACE SCIENCE
- Enhancement of photoluminescence intensity from Si nanodots using Al2O3 surface passivation layer grown by atomic layer deposition
- (2010) Edward Sun et al. APPLIED SURFACE SCIENCE
- Structural properties of the titanium dioxide thin films grown by atomic layer deposition at various numbers of reaction cycles
- (2010) A.P. Alekhin et al. APPLIED SURFACE SCIENCE
- Effect of corona pre-treatment on the performance of gas barrier layers applied by atomic layer deposition onto polymer-coated paperboard
- (2010) Terhi Hirvikorpi et al. APPLIED SURFACE SCIENCE
- Stabilization of a very high-k crystalline ZrO2 phase by post deposition annealing of atomic layer deposited ZrO2/La2O3 dielectrics on germanium
- (2010) S. Abermann et al. APPLIED SURFACE SCIENCE
- Temperature dependence of the sticking coefficient in atomic layer deposition
- (2010) M. Rose et al. APPLIED SURFACE SCIENCE
- A bio-originated porous template for the fabrication of very long, inorganic nanotubes and nanowires
- (2010) F Mumm et al. Bioinspiration & Biomimetics
- Photocatalytic Decomposition of Toluene Vapor by Bare and TiO2-coated Carbon Fibers
- (2010) Yuan Luo et al. BULLETIN OF THE KOREAN CHEMICAL SOCIETY
- Growth and Characterization of Conducting ZnO Thin Films by Atomic Layer Deposition
- (2010) Yo-Sep Min et al. BULLETIN OF THE KOREAN CHEMICAL SOCIETY
- Self-assembled Ni/TiO2 nanocomposite anodes synthesized via electroless plating and atomic layer deposition on biological scaffolds
- (2010) Konstantinos Gerasopoulos et al. CHEMICAL COMMUNICATIONS
- Mass Analysis of Growth of Al2O3 Thin Films from Low-Temperature Atomic Layer Deposition on Woven Cotton
- (2010) Daisuke Hojo et al. CHEMICAL VAPOR DEPOSITION
- Atomic Layer Deposition of Gadolinium Aluminate using Gd(iPrCp)3, TMA, and O3 or H2O
- (2010) Christoph Adelmann et al. CHEMICAL VAPOR DEPOSITION
- In Situ Reaction Mechanism Studies on Atomic Layer Deposition of Sb2Te3and GeTe from (Et3Si)2Te and Chlorides
- (2010) Kjell Knapas et al. CHEMISTRY OF MATERIALS
- Subnanoscale Lanthanum Distribution in Lanthanum-Incorporated Hafnium Oxide Thin Films Grown Using Atomic Layer Deposition
- (2010) Tuo Wang et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of CdS Films
- (2010) Jonathan R. Bakke et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Ru/RuO2Thin Films Studied by In situ Infrared Spectroscopy
- (2010) S. K. Park et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition (ALD) Co-Deposited Pt−Ru Binary and Pt Skin Catalysts for Concentrated Methanol Oxidation
- (2010) Xirong Jiang et al. CHEMISTRY OF MATERIALS
- Photo-Controlled Wettability Switching by Conformal Coating of Nanoscale Topographies with Ultrathin Oxide Films
- (2010) Jari Malm et al. CHEMISTRY OF MATERIALS
- Permittivity Enhanced Atomic Layer Deposited HfO2Thin Films Manipulated by a Rutile TiO2Interlayer
- (2010) Minha Seo et al. CHEMISTRY OF MATERIALS
- Investigation on the Growth Initiation of Ru Thin Films by Atomic Layer Deposition
- (2010) Seong Keun Kim et al. CHEMISTRY OF MATERIALS
- Growth of Cu Metal Films at Room Temperature Using Catalyzed Reactions
- (2010) Sang-Woo Kang et al. CHEMISTRY OF MATERIALS
- Palladium Catalysts Synthesized by Atomic Layer Deposition for Methanol Decomposition
- (2010) Hao Feng et al. CHEMISTRY OF MATERIALS
- Growth of Tantalum(V) Oxide Films by Atomic Layer Deposition Using the Highly Thermally Stable Precursor Ta(NtBu)(iPrNC(Me)NiPr)2(NMe2)
- (2010) Monika K. Wiedmann et al. CHEMISTRY OF MATERIALS
- Low Temperature Atomic Layer Deposition of Tin Oxide
- (2010) Jaeyeong Heo et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Ir−Pt Alloy Films
- (2010) Steven T. Christensen et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Antimony and its Compounds Using Dechlorosilylation Reactions of Tris(triethylsilyl)antimony
- (2010) Viljami Pore et al. CHEMISTRY OF MATERIALS
- Molecular Mechanisms of Aluminum Oxide Thin Film Growth on Polystyrene during Atomic Layer Deposition
- (2010) Manjunath Puttaswamy et al. CHEMISTRY-A EUROPEAN JOURNAL
- Epitaxial Growth ofm-Plane ZnO Thin Films on (101̅0) Sapphire Substrate by Atomic Layer Deposition with Interrupted Flow
- (2010) Ching-Shun Ku et al. CRYSTAL GROWTH & DESIGN
- Study of bismuth alkoxides as possible precursors for ALD
- (2010) Timo Hatanpää et al. DALTON TRANSACTIONS
- Enhancement of Photoelectrochemical Properties of ALD TiO[sub 2] Films by Light-Trapping Effect
- (2010) Hsyi-En Cheng et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
- (2010) S. J. Lim et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Advantageous Reverse Recovery Behavior of Pentacene/ZnO Diode
- (2010) Kwang H. Lee et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Reduced Metal Contamination in Atomic-Layer-Deposited HfO[sub 2] Films Grown on Si Using O[sub 3] Oxidant Generated Without N[sub 2] Assistance
- (2010) Tae Joo Park et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Selective Incorporation of Colloidal Nanocrystals in Nanopatterned SiO[sub 2] Layer for Nanocrystal Memory Device
- (2010) Il Seo et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Ozone-Based Metal Oxide Atomic Layer Deposition: Impact of N[sub 2]/O[sub 2] Supply Ratio in Ozone Generation
- (2010) Annelies Delabie et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Low Temperature Epitaxial Growth of High Permittivity Rutile TiO[sub 2] on SnO[sub 2]
- (2010) Hongtao Wang et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Plasma-Enhanced Atomic Layer Deposition of TaC[sub x]N[sub y] Films with tert-Butylimido Tris-diethylamido Tantalum and Methane/Hydrogen Gas
- (2010) Gi-hee Cho et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Influence of the Deposition Temperature on the c-Si Surface Passivation by Al[sub 2]O[sub 3] Films Synthesized by ALD and PECVD
- (2010) G. Dingemans et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Investigation of Thermal Stability of Atomic-Layer-Deposited MgO Thin Films on Si(100) Using X-Ray Photoelectron Spectroscopy
- (2010) Hong-Liang Lu et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Synthesis of nanoporous activated iridium oxide films by anodized aluminum oxide templated atomic layer deposition
- (2010) David J. Comstock et al. ELECTROCHEMISTRY COMMUNICATIONS
- Low-temperature atomic layer deposited Al2O3 thin film on layer structure cathode for enhanced cycleability in lithium-ion batteries
- (2010) Jyh-Tsung Lee et al. ELECTROCHIMICA ACTA
- Effects of Initial Growth Mode on the Electrical Properties of Atomic-Layer-deposited HfO2 Films
- (2010) Hyo Sik Chang Electronic Materials Letters
- High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal–Organic Silicon Precursor and Oxygen Radical
- (2010) Seok-Jun Won et al. IEEE ELECTRON DEVICE LETTERS
- UV Electroluminescence and Structure of n-ZnO/p-GaN Heterojunction LEDs Grown by Atomic Layer Deposition
- (2010) Hsing-Chao Chen et al. IEEE JOURNAL OF QUANTUM ELECTRONICS
- Liquid Core ARROW Waveguides by Atomic Layer Deposition
- (2010) Genni Testa et al. IEEE PHOTONICS TECHNOLOGY LETTERS
- Synthesis of Photoactive Magnetic Nanoparticles with Atomic Layer Deposition†
- (2010) Yun Zhou et al. INDUSTRIAL & ENGINEERING CHEMISTRY RESEARCH
- A Family of Heteroleptic Titanium Guanidinates: Synthesis, Thermolysis, and Surface Reactivity
- (2010) Yamile A. Wasslen et al. INORGANIC CHEMISTRY
- Growth and properties of Al2O3 and SiO2 nanolayers on III–V semiconductors
- (2010) Yu. K. Ezhovskii et al. INORGANIC MATERIALS
- Titanium Oxide Thin Films Prepared by Plasma Enhanced Atomic Layer Deposition Using Remote Electron Cyclotron Resonance Plasma for Organic Devices Passivation
- (2010) Byung-Woo Kang et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Plasma-Enhanced Atomic Layer Deposition of Ni
- (2010) Han-Bo-Ram Lee et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
- (2010) Jae-Min Kim et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Atomic Layer Deposition and Properties of Silicon Oxide Thin Films Using Alternating Exposures to SiH2Cl2and O3
- (2010) Won-Jun Lee et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Low-Temperature Silicon Oxide Offset Spacer Using Plasma-Enhanced Atomic Layer Deposition for High-k/Metal Gate Transistor
- (2010) Tatsunori Murata et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Investigation of Thermal Stability of TiN Film Formed by Atomic Layer Deposition Using Tetrakis(dimethylamino)titanium Precursor for Metal-Gate Metal–Oxide–Semiconductor Field-Effect Transistor
- (2010) Tetsuro Hayashida et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Growth of ZnSe(1−x)Tex epilayers by isothermal closed space sublimation
- (2010) Erick M. Larramendi et al. JOURNAL OF APPLIED PHYSICS
- Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
- (2010) P. S. Waggoner et al. JOURNAL OF APPLIED PHYSICS
- Resistance switching in HfO2 metal-insulator-metal devices
- (2010) P. Gonon et al. JOURNAL OF APPLIED PHYSICS
- O3-based atomic layer deposition of hexagonal La2O3 films on Si(100) and Ge(100) substrates
- (2010) L. Lamagna et al. JOURNAL OF APPLIED PHYSICS
- Complete band offset characterization of the HfO2/SiO2/Si stack using charge corrected x-ray photoelectron spectroscopy
- (2010) E. Bersch et al. JOURNAL OF APPLIED PHYSICS
- Nonvolatile memory characteristics of atomic layer deposited Ru nanocrystals with a SiO2/Al2O3 bilayered tunnel barrier
- (2010) Do-Joong Lee et al. JOURNAL OF APPLIED PHYSICS
- Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition
- (2010) A. J. M. Mackus et al. JOURNAL OF APPLIED PHYSICS
- Low temperature silicon dioxide by thermal atomic layer deposition: Investigation of material properties
- (2010) D. Hiller et al. JOURNAL OF APPLIED PHYSICS
- The properties of tris (8-hydroxyquinoline) aluminum organic light emitting diode with undoped zinc oxide anode layer
- (2010) G. Luka et al. JOURNAL OF APPLIED PHYSICS
- On the isothermal closed space sublimation growth of CdSe using a mixed source for selenium
- (2010) Erick M. Larramendi et al. JOURNAL OF CRYSTAL GROWTH
- Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
- (2010) Han-Bo-Ram Lee et al. JOURNAL OF CRYSTAL GROWTH
- XPS and depth resolved SXES study of HfO2/Si interlayers
- (2010) E.O. Filatova et al. JOURNAL OF ELECTRON SPECTROSCOPY AND RELATED PHENOMENA
- (MeCp)Ir(CHD) and molecular oxygen as precursors in atomic layer deposition of iridium
- (2010) Jani Hämäläinen et al. JOURNAL OF MATERIALS CHEMISTRY
- Rare earth scandate thin films by atomic layer deposition: effect of the rare earth cation size
- (2010) Pia Myllymäki et al. JOURNAL OF MATERIALS CHEMISTRY
- Low-temperature synthesis of nanoscale silica multilayers – atomic layer deposition in a test tube
- (2010) Benjamin Hatton et al. JOURNAL OF MATERIALS CHEMISTRY
- Lanthanum titanate and lithium lanthanum titanate thin films grown by atomic layer deposition
- (2010) Titta Aaltonen et al. JOURNAL OF MATERIALS CHEMISTRY
- Surface and sub-surface reactions during low temperature aluminium oxide atomic layer deposition on fiber-forming polymers
- (2010) Joseph C. Spagnola et al. JOURNAL OF MATERIALS CHEMISTRY
- Cubic phase stabilization and improved dielectric properties of atomic-layer-deposited Er yHf1-yO x thin films
- (2010) K.B. Jinesh et al. JOURNAL OF MATERIALS RESEARCH
- Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
- (2010) Yongchan Kim et al. JOURNAL OF MATERIALS RESEARCH
- Structure and photoluminescence properties of ZnS nanowires sheathed with SnO2 by atomic layer deposition
- (2010) Kyungjoon Baek et al. JOURNAL OF MATERIALS SCIENCE
- Infrared and near-infrared spectroscopic probing of atomic layer deposition processes
- (2010) A. O’Mahony et al. JOURNAL OF MOLECULAR STRUCTURE
- Fabrication Process of Carbon Nanotube Field Effect Transistors Using Atomic Layer Deposition Passivation for Biosensors
- (2010) Yasuhiro Nakashima et al. JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY
- In Situ Analysis of Dopant Incorporation, Activation, and Film Growth during Thin Film ZnO and ZnO:Al Atomic Layer Deposition
- (2010) Jeong-Seok Na et al. Journal of Physical Chemistry C
- Coverage Analysis for the Core/Shell Electrode of Dye-Sensitized Solar Cells
- (2010) Ta-Chang Tien et al. Journal of Physical Chemistry C
- Theoretical and Experimental Analysis of the Sensitivity of Guided Mode Resonance Sensors
- (2010) Adriana Szeghalmi et al. Journal of Physical Chemistry C
- Shrinking Core Model for Knudsen Diffusion-Limited Atomic Layer Deposition on a Nanoporous Monolith with an Ultrahigh Aspect Ratio
- (2010) Hoo-Yong Lee et al. Journal of Physical Chemistry C
- Non-Aqueous Approach to Synthesize Amorphous/Crystalline Metal Oxide-Graphene Nanosheet Hybrid Composites
- (2010) Xiangbo Meng et al. Journal of Physical Chemistry C
- Kinetics of Stop-Flow Atomic Layer Deposition for High Aspect Ratio Template Filling through Photonic Band Gap Measurements
- (2010) Siva Krishna Karuturi et al. Journal of Physical Chemistry C
- Comparative Study of Titanium Dioxide Atomic Layer Deposition on Silicon Dioxide and Hydrogen-Terminated Silicon
- (2010) Rungthiwa Methaapanon et al. Journal of Physical Chemistry C
- Tetrakis(dimethylamido)hafnium Adsorption and Reaction on Hydrogen Terminated Si(100) Surfaces
- (2010) Kejing Li et al. Journal of Physical Chemistry C
- Space-Limited Crystal Growth Mechanism of TiO2 Films by Atomic Layer Deposition
- (2010) Wen-Jen Lee et al. Journal of Physical Chemistry C
- In2S3 Atomic Layer Deposition and Its Application as a Sensitizer on TiO2 Nanotube Arrays for Solar Energy Conversion
- (2010) Shaibal K. Sarkar et al. Journal of Physical Chemistry C
- Atomic Layer Deposition of Aluminum Oxide in Mesoporous Silica Gel
- (2010) Jeffrey W. Elam et al. Journal of Physical Chemistry C
- Structure and Disorder in Amorphous Alumina Thin Films: Insights from High-Resolution Solid-State NMR
- (2010) Sung Keun Lee et al. Journal of Physical Chemistry C
- Genesis and Evolution of Surface Species during Pt Atomic Layer Deposition on Oxide Supports Characterized by in Situ XAFS Analysis and Water−Gas Shift Reaction
- (2010) Worajit Setthapun et al. Journal of Physical Chemistry C
- Tin Monosulfide Thin Films Grown by Atomic Layer Deposition Using Tin 2,4-Pentanedionate and Hydrogen Sulfide
- (2010) Jay Yu Kim et al. Journal of Physical Chemistry C
- Surface Modification of SnO2 Photoelectrodes in Dye-Sensitized Solar Cells: Significant Improvements in Photovoltage via Al2O3 Atomic Layer Deposition
- (2010) Chaiya Prasittichai et al. Journal of Physical Chemistry Letters
- The effects of RF power on the interfacial property between Al2O3and Si3N4and on the memory property in a MANOS structure
- (2010) Hyungchul Kim et al. JOURNAL OF PHYSICS D-APPLIED PHYSICS
- Electronic and optical properties of Al2O3/SiO2thin films grown on Si substrate
- (2010) Dahlang Tahir et al. JOURNAL OF PHYSICS D-APPLIED PHYSICS
- Angular behavior of the Berreman effect investigated in uniform Al2O3layers formed by atomic layer deposition
- (2010) Giovanna Scarel et al. JOURNAL OF PHYSICS-CONDENSED MATTER
- Novel TiO2/ZnO multilayer mirrors at ‘water-window’ wavelengths fabricated by atomic layer epitaxy
- (2010) H Kumagai et al. JOURNAL OF PHYSICS-CONDENSED MATTER
- Improved performance of dye-sensitized solar cells with TiO2/alumina core–shell formation using atomic layer deposition
- (2010) V. Ganapathy et al. JOURNAL OF POWER SOURCES
- Atomic layer deposition of titanium dioxide thin films from tetraethoxytitanium and water
- (2010) A. P. Alekhin et al. Journal of Surface Investigation-X-Ray Synchrotron and Neutron Techniques
- The Transition between Conformal Atomic Layer Epitaxy and Nanowire Growth
- (2010) Ren Bin Yang et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Ni(III)/(IV) Bis(dicarbollide) as a Fast, Noncorrosive Redox Shuttle for Dye-Sensitized Solar Cells
- (2010) Tina C. Li et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Atomic Layer Deposition of Ta–N-Based Thin Films Using a Tantalum Source
- (2010) D. Schmidt et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Chemical Reaction Mechanism in the Atomic Layer Deposition of TaC[sub x]N[sub y] Films Using tert-Butylimidotris(diethylamido)tantalum
- (2010) Suk-Hoon Kim et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Stimulated Emission in Highly (0001)-Oriented ZnO Films Grown by Atomic Layer Deposition on the Amorphous Glass Substrates
- (2010) Y. T. Shih et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Preparation of Pt/SnO[sub 2] Core–Shell Nanowires with Enhanced Ethanol Gas- and Photon-Sensing Properties
- (2010) Yu-Hung Lin et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Investigation of ZrO[sub 2]–Gd[sub 2]O[sub 3] Based High-k Materials as Capacitor Dielectrics
- (2010) Indrek Jõgi et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Fabrication and Characteristics of Self-Aligned ZnO Nanotube and Nanorod Arrays on Si Substrates by Atomic Layer Deposition
- (2010) Yung-Huang Chang et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Tunable Work-Function Engineering of TiC–TiN Compound by Atomic Layer Deposition for Metal Gate Applications
- (2010) Sanghun Jeon et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Growth and Interface Evolution of HfO[sub 2] Films on GaAs(100) Surfaces
- (2010) Theodosia Gougousi et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Fabrication of Robust Triple-Ti[sub 1−x]Al[sub x]N Metal Gate by Atomic Layer Deposition
- (2010) Sanghun Jeon et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaN[sub x] on Adhesion with Copper
- (2010) Chih-Chieh Chang et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Platinum Nanoparticles Grown by Atomic Layer Deposition for Charge Storage Memory Applications
- (2010) Steven Novak et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Electrical and Bias Temperature Instability Characteristics of n-Type Field-Effect Transistors Using HfO[sub x]N[sub y] Gate Dielectrics
- (2010) Hyung-Suk Jung et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- ALD and Parasitic Growth Characteristics of the Tetrakisethylmethylamino Hafnium (TEMAH)/H[sub 2]O Process
- (2010) L. Nyns et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Area Selective Atomic Layer Deposition by Microcontact Printing with a Water-Soluble Polymer
- (2010) Marja N. Mullings et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Conformality of Plasma-Assisted ALD: Physical Processes and Modeling
- (2010) H. C. M. Knoops et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- The Effects of Annealing Ambient on the Characteristics of La[sub 2]O[sub 3] Films Deposited by RPALD
- (2010) Hyungchul Kim et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
- (2010) S. E. Potts et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Catalysts with Pt Surface Coating by Atomic Layer Deposition for Solid Oxide Fuel Cells
- (2010) Joon Hyung Shim et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition ZnO:N Thin Film Transistor: The Effects of N Concentration on the Device Properties
- (2010) S. J. Lim et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Conformality of Al[sub 2]O[sub 3] and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
- (2010) J. Dendooven et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- ?The Degradation of Deposition Blocking Layer during Area Selective Plasma Enhanced Atomic Layer Deposition of Cobalt
- (2010) Hyungjun Kim et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Investigating the TiN Film Quality and Growth Behavior for Plasma-enhanced Atomic Layer Deposition Using TiCl$_4$ and N$_2$/H$_2$/Ar Radicals
- (2010) Jin-seong Park et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Multiferroic Properties of Bismuth Layer Structured Bi3.25La0.75Ti3O12-(La0.7 Sr0.3)MnO3 Solid Solution at Low Temperature
- (2010) Jeong Seog Kim et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Surface texture and wetting stability of polydimethylsiloxane coated with aluminum oxide at low temperature by atomic layer deposition
- (2010) Joseph C. Spagnola et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Time-resolved Fourier transform infrared spectroscopy of the gas phase during atomic layer deposition
- (2010) Brent A. Sperling et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Temperature-Dependent Subsurface Growth during Atomic Layer Deposition on Polypropylene and Cellulose Fibers
- (2010) Jesse S. Jur et al. LANGMUIR
- Nano/Subnanometer Pd Nanoparticles on Oxide Supports Synthesized by AB-type and Low-Temperature ABC-type Atomic Layer Deposition: Growth and Morphology†
- (2010) Junling Lu et al. LANGMUIR
- Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
- (2010) Vikrant R. Rai et al. LANGMUIR
- GaN Nanowire Functionalized with Atomic Layer Deposition Techniques for Enhanced Immobilization of Biomolecules
- (2010) D. J. Guo et al. LANGMUIR
- Surface and Interface Processes during Atomic Layer Deposition of Copper on Silicon Oxide
- (2010) Min Dai et al. LANGMUIR
- Area-Selective Atomic Layer Deposition of Lead Sulfide: Nanoscale Patterning and DFT Simulations
- (2010) Wonyoung Lee et al. LANGMUIR
- Epitaxial growth of ZnO films at extremely low temperature by atomic layer deposition with interrupted flow
- (2010) Ching-Shun Ku et al. MATERIALS CHEMISTRY AND PHYSICS
- Structure and Electro-Optical Properties of Thin Films Grown by Alternate Atomic Layer Deposition of ZnO and Al2O3 on the Sapphire Substrate
- (2010) Miran \\v{C}eh et al. MATERIALS TRANSACTIONS
- Fabrication of ZnO Nanopillars by Atomic Layer Deposition
- (2010) Mong-Kai Wu et al. MATERIALS TRANSACTIONS
- Effects of defects generated in ALD TiO2 films on electrical properties and interfacial reaction in TiO2/SiO2/Si system upon annealing in vacuum
- (2010) Sanghee Won et al. METALS AND MATERIALS INTERNATIONAL
- Supported Ru−Pt Bimetallic Nanoparticle Catalysts Prepared by Atomic Layer Deposition
- (2010) Steven T. Christensen et al. NANO LETTERS
- Sub-10-nm Nanochannels by Self-Sealing and Self-Limiting Atomic Layer Deposition
- (2010) Sung-Wook Nam et al. NANO LETTERS
- Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition
- (2010) Kai Zhou et al. Nanoscale Research Letters
- Atomic Layer Deposition of ZnO on Multi-walled Carbon Nanotubes and Its Use for Synthesis of CNT–ZnO Heterostructures
- (2010) X. L. Li et al. Nanoscale Research Letters
- SnO2nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
- (2010) Won-Sik Kim et al. NANOTECHNOLOGY
- A synthesis and sensing application of hollow ZnO nanofibers with uniform wall thicknesses grown using polymer templates
- (2010) Jae Young Park et al. NANOTECHNOLOGY
- Biofabrication methods for the patterned assembly and synthesis of viral nanotemplates
- (2010) K Gerasopoulos et al. NANOTECHNOLOGY
- Peptide-templating dye-sensitized solar cells
- (2010) Tae Hee Han et al. NANOTECHNOLOGY
- Scanning tunneling spectroscopy of lead sulfide quantum wells fabricated by atomic layer deposition
- (2010) Wonyoung Lee et al. NANOTECHNOLOGY
- Enhanced visible photoluminescence from ultrathin ZnO films grown on Si-nanowires by atomic layer deposition
- (2010) Yuan-Ming Chang et al. NANOTECHNOLOGY
- CMOS compatible strategy based on selective atomic layer deposition of a hard mask for transferring block copolymer lithography patterns
- (2010) G Gay et al. NANOTECHNOLOGY
- Nanoporous gold–alumina core–shell films with tunable optical properties
- (2010) Lihua Qian et al. NANOTECHNOLOGY
- Liquid injection atomic layer deposition of silver nanoparticles
- (2010) P R Chalker et al. NANOTECHNOLOGY
- Change in carrier type in high-kgate carbon nanotube field-effect transistors by interface fixed charges
- (2010) N Moriyama et al. NANOTECHNOLOGY
- Direct probe of heterojunction effects upon photoconductive properties of TiO2nanotubes fabricated by atomic layer deposition
- (2010) Yung-Huang Chang et al. NANOTECHNOLOGY
- Encapsulation of organic solar cells with ultrathin barrier layers deposited by ozone-based atomic layer deposition
- (2010) Smita Sarkar et al. ORGANIC ELECTRONICS
- Atomic layer deposition-based functionalization of materials for medical and environmental health applications
- (2010) R. J. Narayan et al. PHILOSOPHICAL TRANSACTIONS OF THE ROYAL SOCIETY A-MATHEMATICAL PHYSICAL AND ENGINEERING SCIENCES
- A comparative study of the photoluminescence and conduction mechanisms of low temperature pulsed laser deposited and atomic layer deposited zinc oxide thin films
- (2010) Fang Ling Kuo et al. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE
- Transparent and conductive undoped zinc oxide thin films grown by atomic layer deposition
- (2010) Grzegorz Luka et al. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE
- The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
- (2010) Seungjun Lee et al. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE
- Comparison of dimethylzinc and diethylzinc as precursors for monocrystalline zinc oxide grown by atomic layer deposition method
- (2010) L. Wachnicki et al. PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS
- Zinc oxide grown by atomic layer deposition - a material for novel 3D electronics
- (2010) Elżbieta Guziewicz et al. PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS
- Tubular magnetic nanostructures based on glancing angle deposited templates and atomic layer deposition
- (2010) Ole Albrecht et al. PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS
- Bipolar resistive switching in amorphous titanium oxide thin film
- (2010) Hu Young Jeong et al. Physica Status Solidi-Rapid Research Letters
- Structural properties and electronic structure ofHfO2-ZrO2composite films
- (2010) Deok-Yong Cho et al. PHYSICAL REVIEW B
- The effect exerted by temperature on the phase formation of titanium oxide layer on silica surface at different stages of molecular layering
- (2010) A. A. Malkov et al. RUSSIAN JOURNAL OF APPLIED CHEMISTRY
- The preparation and properties of chromium oxide nanolayers on semiconducting matrices
- (2010) Yu. K. Ezhovskii et al. Russian Journal of Physical Chemistry A
- Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
- (2010) J Hinz et al. SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Downscaling of defect-passivated Gd2O3thin films on p-Si(0 0 1) wafers grown by H2O-assisted atomic layer deposition
- (2010) R Ranjith et al. SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Thin film absorbers for visible, near-infrared, and short-wavelength infrared spectra
- (2010) M. Laamanen et al. SENSORS AND ACTUATORS A-PHYSICAL
- Atomic layer deposition of tin dioxide sensing film in microhotplate gas sensors
- (2010) Antti J. Niskanen et al. SENSORS AND ACTUATORS B-CHEMICAL
- Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
- (2010) Dai Hong Kim et al. SENSORS AND ACTUATORS B-CHEMICAL
- Flexible Replication Technique for High-Aspect-Ratio Nanostructures
- (2010) Adriana Szeghalmi et al. Small
- Preparation and Elastic Properties of Helical Nanotubes Obtained by Atomic Layer Deposition with Carbon Nanocoils as Templates
- (2010) Yong Qin et al. Small
- Electronic structure and optical properties of Nb doped Al2O3 on Si by atomic layer deposition
- (2010) Yan Xu et al. SOLID STATE COMMUNICATIONS
- Influence of coating and thermal annealing on the structure and luminescence properties of CuO nanorods
- (2010) Changhyun Jin et al. SOLID STATE COMMUNICATIONS
- Atomic layer deposited high-k nanolaminate capacitors
- (2010) S.W. Smith et al. SOLID-STATE ELECTRONICS
- Growth and stability of CVD Ni3N and ALD NiO dual layers
- (2010) Erik Lindahl et al. SURFACE & COATINGS TECHNOLOGY
- Influence of active layer thickness and annealing in zinc oxide TFT grown by atomic layer deposition
- (2010) Cheol Hyoun Ahn et al. SURFACE AND INTERFACE ANALYSIS
- X-ray spectroscopic examination of thin HfO2 films ALD- and MOCVD-grown on the Si(100) surface
- (2010) A. A. Sokolov et al. TECHNICAL PHYSICS
- Effect of Weave Geometry on Surface Energy Modification of Textile Materials via Atomic Layer Deposition
- (2010) Kelly M. Roth et al. TEXTILE RESEARCH JOURNAL
- Self-limiting growth of anatase TiO2: A comparison of two deposition techniques
- (2010) Nicholas G. Kubala et al. THIN SOLID FILMS
- Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
- (2010) Chang-Soo Lee et al. THIN SOLID FILMS
- UV-enhanced atomic layer deposition of ZrO2 thin films at room temperature
- (2010) Byoung H. Lee et al. THIN SOLID FILMS
- Improved properties of Pt–HfO2 gate insulator–ZnO semiconductor thin film structure by annealing of ZnO layer
- (2010) Kwang Duk Na et al. THIN SOLID FILMS
- Structure and property changes of ZrO2/Al2O3/ZrO2 laminate induced by low-temperature NH3 annealing applicable to metal–insulator–metal capacitor
- (2010) Ming-Yen Li et al. THIN SOLID FILMS
- Atomic layer deposition of SiO2 from Tris(dimethylamino)silane and ozone by using temperature-controlled water vapor treatment
- (2010) F. Hirose et al. THIN SOLID FILMS
- Atomic layer deposition of tantalum nitride based thin films from cyclopentadienyl type precursor
- (2010) A. Correia Anacleto et al. THIN SOLID FILMS
- Atomic layer deposition and characterization of zirconium oxide–erbium oxide nanolaminates
- (2010) Aile Tamm et al. THIN SOLID FILMS
- Atomic layer deposition of ZnS via in situ production of H2S
- (2010) J.R. Bakke et al. THIN SOLID FILMS
- Deposited dielectrics on metal thin films using silicon and glass substrates for hot electron-induced electrochemiluminescence
- (2010) Antti J. Niskanen et al. THIN SOLID FILMS
- Low-loss optical waveguides for the near ultra-violet and visible spectral regions with Al2O3 thin films from atomic layer deposition
- (2010) Mustafa M. Aslan et al. THIN SOLID FILMS
- Structure and stimulated emission of a high-quality zinc oxide epilayer grown by atomic layer deposition on the sapphire substrate
- (2010) H.C. Chen et al. THIN SOLID FILMS
- Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
- (2010) Woo-Hee Kim et al. THIN SOLID FILMS
- Comparison of some coating techniques to fabricate barrier layers on packaging materials
- (2010) Terhi Hirvikorpi et al. THIN SOLID FILMS
- Biocompatible Interface Films Deposited within Porous Polymers by Atomic Layer Deposition (ALD)
- (2009) Xinhua Liang et al. ACS Applied Materials & Interfaces
- Bi-directional Kirkendall Effect in Coaxial Microtube Nanolaminate Assemblies Fabricated by Atomic Layer Deposition
- (2009) Qing Peng et al. ACS Nano
- Controlled Introduction of Diameter Modulations in Arrayed Magnetic Iron Oxide Nanotubes
- (2009) Kristina Pitzschel et al. ACS Nano
- Fabrication and Electrochemical Characterization of TiO2 Three-Dimensional Nanonetwork Based on Peptide Assembly
- (2009) Sung-Wook Kim et al. ACS Nano
- Biotemplated Hierarchical Nanostructure of Layered Double Hydroxides with Improved Photocatalysis Performance
- (2009) Yufei Zhao et al. ACS Nano
- Surface Polarity Shielding and Hierarchical ZnO Nano-Architectures Produced Using Sequential Hydrothermal Crystal Synthesis and Thin Film Atomic Layer Deposition
- (2009) Jeong-Seok Na et al. ACS Nano
- Multitwinned Spinel Nanowires by Assembly of Nanobricks via Oriented Attachment: A Case Study of Zn2TiO4
- (2009) Yang Yang et al. ACS Nano
- In-Situ Studies of ALD Growth of Hafnium Oxide Films
- (2009) Konstantin Karavaev et al. ADVANCED ENGINEERING MATERIALS
- Atomic Layer Deposition of High-kOxides of the Group 4 Metals for Memory Applications
- (2009) Jaakko Niinistö et al. ADVANCED ENGINEERING MATERIALS
- Nanoscopic Morphologies in Block Copolymer Nanorods as Templates for Atomic-Layer Deposition of Semiconductors
- (2009) Yong Wang et al. ADVANCED MATERIALS
- Al2O3/ZrO2Nanolaminates as Ultrahigh Gas-Diffusion Barriers-A Strategy for Reliable Encapsulation of Organic Electronics
- (2009) Jens Meyer et al. ADVANCED MATERIALS
- Low-Temperature Atomic Layer Deposition of Copper Metal Thin Films: Self-Limiting Surface Reaction of Copper Dimethylamino-2-propoxide with Diethylzinc
- (2009) Byoung H. Lee et al. ANGEWANDTE CHEMIE-INTERNATIONAL EDITION
- The interaction of cobalt species with alumina on Co/Al2O3 catalysts prepared by atomic layer deposition
- (2009) L.B. Backman et al. APPLIED CATALYSIS A-GENERAL
- Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
- (2009) Chang-Soo Lee et al. APPLIED CATALYSIS B-ENVIRONMENTAL
- Influence of oxygen depletion layer on the properties of tin oxide gas-sensing films fabricated by atomic layer deposition
- (2009) Gomathi Natarajan et al. APPLIED PHYSICS A-MATERIALS SCIENCE & PROCESSING
- Enhancing the nucleation of palladium atomic layer deposition on Al2O3 using trimethylaluminum to prevent surface poisoning by reaction products
- (2009) D. N. Goldstein et al. APPLIED PHYSICS LETTERS
- Hafnium oxide/germanium oxynitride gate stacks on germanium: Capacitance scaling and interface state density
- (2009) Yasuhiro Oshima et al. APPLIED PHYSICS LETTERS
- Gate-defined quantum-dot devices realized in InGaAs/InP by incorporating a HfO2 layer as gate dielectric
- (2009) Jie Sun et al. APPLIED PHYSICS LETTERS
- Impact of high-k TiOx dielectric on device performance of indium-gallium-zinc oxide transistors
- (2009) Jin-Seong Park et al. APPLIED PHYSICS LETTERS
- Replicated photonic crystals by atomic layer deposition within holographically defined polymer templates
- (2009) E. Graugnard et al. APPLIED PHYSICS LETTERS
- Low-voltage pentacene organic field-effect transistors with high-κ HfO2 gate dielectrics and high stability under bias stress
- (2009) Xiao-Hong Zhang et al. APPLIED PHYSICS LETTERS
- Vertical alignment of liquid crystals on a fully oxidized HfO2 surface by ion bombardment
- (2009) Won-Kyu Lee et al. APPLIED PHYSICS LETTERS
- A hybrid encapsulation method for organic electronics
- (2009) N. Kim et al. APPLIED PHYSICS LETTERS
- Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy
- (2009) W. M. M. Kessels et al. APPLIED PHYSICS LETTERS
- Atomic layer deposition of Cu2S for future application in photovoltaics
- (2009) Alex B. F. Martinson et al. APPLIED PHYSICS LETTERS
- Low-voltage InGaZnO thin-film transistors with Al2O3 gate insulator grown by atomic layer deposition
- (2009) J. B. Kim et al. APPLIED PHYSICS LETTERS
- Photonic bandgap engineering with inverse opal multistacks of different refractive index contrasts
- (2009) Dae-Kue Hwang et al. APPLIED PHYSICS LETTERS
- Improved two-dimensional electron gas transport characteristics in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor with atomic layer-deposited Al2O3 as gate insulator
- (2009) Z. H. Liu et al. APPLIED PHYSICS LETTERS
- Realization of a high mobility dual-gated graphene field-effect transistor with Al2O3 dielectric
- (2009) Seyoung Kim et al. APPLIED PHYSICS LETTERS
- Effect of modification of S-terminated Ge(100) surface on ALD HfO2 gate stack
- (2009) Younghwan Lee et al. APPLIED SURFACE SCIENCE
- Self-limiting growth of ZnO films on (0001) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide
- (2009) Yen-Ting Lin et al. APPLIED SURFACE SCIENCE
- Atomic layer deposition of ytterbium oxide using -diketonate and ozone precursors
- (2009) M. Bosund et al. APPLIED SURFACE SCIENCE
- Study of interfacial reactions and phase stabilization of mixed Sc, Dy, Hf high-k oxides by attenuated total reflectance infrared spectroscopy
- (2009) A. Hardy et al. APPLIED SURFACE SCIENCE
- Method to determine the sticking coefficient of precursor molecules in atomic layer deposition
- (2009) M. Rose et al. APPLIED SURFACE SCIENCE
- Atomic layer deposition and biocompatibility of titanium nitride nano-coatings on cellulose fiber substrates
- (2009) G K Hyde et al. Biomedical Materials
- Beta Zeolite-Supported Iridium Catalysts by Gas Phase Deposition
- (2009) H. Vuori et al. CATALYSIS LETTERS
- Alumina coating of ultrafine nanoporous gold at room temperature and their optical properties
- (2009) Lihua Qian et al. CHEMICAL PHYSICS LETTERS
- Atomic Layer Deposition: An Overview
- (2009) Steven M. George CHEMICAL REVIEWS
- Etching of Nb2O5Thin Films by NbCl5
- (2009) Kjell Knapas et al. CHEMICAL VAPOR DEPOSITION
- Atomic Layer Deposition of NiO by the Ni(thd)2/H2O Precursor Combination
- (2009) Erik Lindahl et al. CHEMICAL VAPOR DEPOSITION
- Atomic Layer Deposition of Ta2O5/Polyimide Nanolaminates
- (2009) Leo D. Salmi et al. CHEMICAL VAPOR DEPOSITION
- Atmospheric Pressure Process for Coating Particles Using Atomic Layer Deposition
- (2009) Renske Beetstra et al. CHEMICAL VAPOR DEPOSITION
- Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
- (2009) Pieter C. Rowlette et al. CHEMICAL VAPOR DEPOSITION
- ALD of YF3Thin Films from TiF4and Y(thd)3Precursors
- (2009) Tero Pilvi et al. CHEMICAL VAPOR DEPOSITION
- Large-Scale Synthesis of Few-Layered Graphene using CVD
- (2009) Xianbao Wang et al. CHEMICAL VAPOR DEPOSITION
- Atomic Layer Deposition of Antimony Oxide and Antimony Sulfide
- (2009) Ren Bin Yang et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition Growth of BaB2O4Thin Films from an Exceptionally Thermally Stable Tris(pyrazolyl)borate-Based Precursor
- (2009) Mark J. Saly et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Ruthenium Nanoparticles Using a Low-Density Dielectric Film as Template Structure
- (2009) Jaeyeong Heo et al. CHEMISTRY OF MATERIALS
- The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2Thin Films
- (2009) Seok-Jun Won et al. CHEMISTRY OF MATERIALS
- Nanoscale Structure and Morphology of Atomic Layer Deposition Platinum on SrTiO3(001)
- (2009) Steven T. Christensen et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Iridium Thin Films by Consecutive Oxidation and Reduction Steps
- (2009) Jani Hämäläinen et al. CHEMISTRY OF MATERIALS
- Role of Gas Doping Sequence in Surface Reactions and Dopant Incorporation during Atomic Layer Deposition of Al-Doped ZnO
- (2009) Jeong-Seok Na et al. CHEMISTRY OF MATERIALS
- Controlling Atomic Layer Deposition of TiO2in Aerogels through Surface Functionalization
- (2009) Sutapa Ghosal et al. CHEMISTRY OF MATERIALS
- Intermediate-Temperature Ceramic Fuel Cells with Thin Film Yttrium-Doped Barium Zirconate Electrolytes
- (2009) Joon Hyung Shim et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Lead Sulfide Thin Films for Quantum Confinement
- (2009) Neil P. Dasgupta et al. CHEMISTRY OF MATERIALS
- Uptake of Copper Acetamidinate ALD Precursors on Nickel Surfaces
- (2009) Qiang Ma et al. CHEMISTRY OF MATERIALS
- Iron(III)-oxo Centers on TiO2for Visible-Light Photocatalysis
- (2009) Joseph A. Libera et al. CHEMISTRY OF MATERIALS
- Explosive Crystallization in Atomic Layer Deposited Mixed Titanium Oxides
- (2009) Viljami Pore et al. CRYSTAL GROWTH & DESIGN
- Growing ZnO Nanocrystals on Polystyrene Nanospheres by Extra-Low-Temperature Atomic Layer Deposition
- (2009) I. Alessandri et al. CRYSTAL GROWTH & DESIGN
- Structural Characteristics and Annealing Effect of ZnO Epitaxial Films Grown by Atomic Layer Deposition
- (2009) S. Yang et al. CRYSTAL GROWTH & DESIGN
- Crystal Phase Evolution in Quantum Confined ZnO Domains on Particles via Atomic Layer Deposition
- (2009) David M. King et al. CRYSTAL GROWTH & DESIGN
- Preparation, structure, and photoluminescence properties of Ga2O3/SnO2coaxial nanowires
- (2009) Changhyun Jin et al. CRYSTAL RESEARCH AND TECHNOLOGY
- Luminescent properties of BaMgAl10O17:Eu2+ blue phosphor grown with SiO2 using atomic layer deposition
- (2009) Young Kyu Jeong et al. CURRENT APPLIED PHYSICS
- Effects of O2 plasma pre-treatment on ZnO thin films grown on polyethersulfone substrates at various deposition temperatures by atomic layer deposition
- (2009) J.Y. Lee et al. CURRENT APPLIED PHYSICS
- Effect of poly silicon thickness on the formation of Ni-FUSI gate by using atomic layer deposited nickel film
- (2009) Jong-Bong Ha et al. CURRENT APPLIED PHYSICS
- Micro-Raman investigation of vanadium-oxide coated tubular carbon nanofibers for gas-sensing applications
- (2009) S. Santangelo et al. DIAMOND AND RELATED MATERIALS
- Enhancement of the TiO[sub 2] Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
- (2009) Dae-Kwon Joo et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Photoluminescence of GaQ[sub 3]–Al[sub 2]O[sub 3] Core-Shell Nanowires
- (2009) Chih-Chieh Wang et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- High Efficiency Silicon Solar Cells with Bilayer Passivation Structure
- (2009) W. C. Sun et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Atomic Layer Deposition of ZrO[sub 2] and HfO[sub 2] Nanotubes by Template Replication
- (2009) Diefeng Gu et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Remote Plasma ALD of Platinum and Platinum Oxide Films
- (2009) H. C. M. Knoops et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Composition–Structure–Dielectric Property of Yttrium-Doped Hafnium Oxide Films Deposited by Atomic Layer Deposition
- (2009) Qian Tao et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Low Temperature Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and O[sub 2]
- (2009) Tae-Kwang Eom et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N[sub 2]O Gas
- (2009) Seok-Jun Won et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Atomic layer deposition of TiO2 nanotubes and its improved electrostatic capacitance
- (2009) Jongmin Lee et al. ELECTROCHEMISTRY COMMUNICATIONS
- Fabrication and Properties of AlN Film on GaN Substrate by Using Remote Plasma Atomic Layer Deposition Method
- (2009) Kwang-Ho Kim et al. Electronic Materials Letters
- Enhanced Chemical Vapor Deposition of Pt Films on UV-exposed TiO2 Surfaces
- (2009) Ara Kim et al. Electronic Materials Letters
- Current collapse reduction in InAlN/GaN MOS HEMTs by in situ surface pre-treatment and atomic layer deposition of ZrO2 high-k gate dielectrics
- (2009) S. Abermann et al. ELECTRONICS LETTERS
- Optical and Electrical Properties of Ti<SUB>x</SUB>Si<SUB>1-x</SUB>O<SUB>y</SUB> Films
- (2009) Jung Wook Lim et al. ETRI JOURNAL
- Fast PEALD ZnO Thin-Film Transistor Circuits
- (2009) Devin A. Mourey et al. IEEE TRANSACTIONS ON ELECTRON DEVICES
- Bis(cyclopentadienyl) zirconium(IV) amides as possible precursors for low pressure CVD and plasma-enhanced ALD
- (2009) Stephen E. Potts et al. INORGANICA CHIMICA ACTA
- Comparison of Tantalum Nitride Films for Different NH3/H2/Ar Reactant States in Two-Step Atomic Layer Deposition
- (2009) Jung-Dae Kwon et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Atomic Layer Deposition of HfO2onto Si Using Hf(NMe2)4
- (2009) Jeong Chan Kim et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Atomic layer deposition of TiO2 thin films on nanoporous alumina templates: Medical applications
- (2009) Roger J. Narayan et al. JOM
- Template-based multiwalled TiO2/iron oxides nanotubes: Structure and magnetic properties
- (2009) I. L. Soroka et al. JOURNAL OF APPLIED PHYSICS
- Atomically abrupt and unpinned Al2O3/In0.53Ga0.47As interfaces: Experiment and simulation
- (2009) Eun Ji Kim et al. JOURNAL OF APPLIED PHYSICS
- Influence of N2 and NH3 annealing on the nitrogen incorporation and k-value of thin ZrO2 layers
- (2009) W. Weinreich et al. JOURNAL OF APPLIED PHYSICS
- Size-dependent polymorphism in HfO2 nanotubes and nanoscale thin films
- (2009) Michael Shandalov et al. JOURNAL OF APPLIED PHYSICS
- Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
- (2009) D. Hoogeland et al. JOURNAL OF APPLIED PHYSICS
- Nucleation period, surface roughness, and oscillations in mass gain per cycle during W atomic layer deposition on Al2O3
- (2009) R. W. Wind et al. JOURNAL OF APPLIED PHYSICS
- Size effects in ordered arrays of magnetic nanotubes: Pick your reversal mode
- (2009) Julien Bachmann et al. JOURNAL OF APPLIED PHYSICS
- Annealing behavior of atomic layer deposited HfO2 films studied by synchrotron x-ray reflectivity and grazing incidence small angle scattering
- (2009) M. L. Green et al. JOURNAL OF APPLIED PHYSICS
- Structural analysis, elemental profiling, and electrical characterization of HfO2 thin films deposited on In0.53Ga0.47As surfaces by atomic layer deposition
- (2009) R. D. Long et al. JOURNAL OF APPLIED PHYSICS
- ZnO grown by atomic layer deposition: A material for transparent electronics and organic heterojunctions
- (2009) E. Guziewicz et al. JOURNAL OF APPLIED PHYSICS
- Structural phase transformation of Y2O3 doped HfO2 films grown on Si using atomic layer deposition
- (2009) Prodyut Majumder et al. JOURNAL OF APPLIED PHYSICS
- Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition
- (2009) P. F. Carcia et al. JOURNAL OF APPLIED PHYSICS
- MOCVD growth of GaN on Si(111) substrates using an ALD-grown Al2O3 interlayer
- (2009) William E. Fenwick et al. JOURNAL OF CRYSTAL GROWTH
- Epitaxial NiO (100) and NiO (111) films grown by atomic layer deposition
- (2009) E. Lindahl et al. JOURNAL OF CRYSTAL GROWTH
- Growth and phase stabilization of HfO2 thin films by ALD using novel precursors
- (2009) Jaakko Niinistö et al. JOURNAL OF CRYSTAL GROWTH
- Oxide Electronics by Spatial Atomic Layer Deposition
- (2009) David H. Levy et al. Journal of Display Technology
- Complex three-dimensional conformal surfaces formed by atomic layer deposition: computation and experimental verification
- (2009) Andrew Brzezinski et al. JOURNAL OF MATERIALS CHEMISTRY
- Highly entangled hollow TiO2 nanoribbons templating diphenylalanine assembly
- (2009) Tae Hee Han et al. JOURNAL OF MATERIALS CHEMISTRY
- A novel approach for fabrication of bismuth-silicon dioxide core-shell structures by atomic layer deposition
- (2009) Jongmin Lee et al. JOURNAL OF MATERIALS CHEMISTRY
- Atomic layer deposition of hafnium silicate film for high mobility pentacene thin film transistor applications
- (2009) Seunghyup Lee et al. JOURNAL OF MATERIALS CHEMISTRY
- Synthesis and applications of nanocrystalline nitride materials
- (2009) Baishakhi Mazumder et al. JOURNAL OF MATERIALS CHEMISTRY
- Enhanced performance of dye-sensitized solar cells by an Al2O3 charge-recombination barrier formed by low-temperature atomic layer deposition
- (2009) Ching Lin et al. JOURNAL OF MATERIALS CHEMISTRY
- Atomic layer deposition of lithium containing thin films
- (2009) Matti Putkonen et al. JOURNAL OF MATERIALS CHEMISTRY
- Growth and characterization of ZnO films on (11-20) sapphire substrates by atomic layer deposition using DEZn and N2O
- (2009) Kuo-Yi Yen et al. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS
- Modification of nanoporous supported lyotropic liquid crystal polymer membranes by atomic layer deposition
- (2009) Xinhua Liang et al. JOURNAL OF MEMBRANE SCIENCE
- Coating of Nanoporous Membranes: Atomic Layer Deposition versus Sputtering
- (2009) K. Grigoras et al. JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY
- Effect of thickness of ALD grown TiO2 films on photoelectrocatalysis
- (2009) Mikko Heikkilä et al. JOURNAL OF PHOTOCHEMISTRY AND PHOTOBIOLOGY A-CHEMISTRY
- Thermal Chemistry of Tetrakis(ethylmethylamido)titanium on Si(100) Surfaces†
- (2009) Byung-Chang Kan et al. JOURNAL OF PHYSICAL CHEMISTRY A
- Quartz Crystal Microbalance Studies of Al2O3Atomic Layer Deposition Using Trimethylaluminum and Water at 125 °C†
- (2009) R. A. Wind et al. JOURNAL OF PHYSICAL CHEMISTRY A
- Nanoencapsulation and Stabilization of Single-Molecule/Particle Electronic Nanoassemblies Using Low-Temperature Atomic Layer Deposition
- (2009) Jeong-Seok Na et al. Journal of Physical Chemistry C
- In Situ Infrared Characterization during Atomic Layer Deposition of Lanthanum Oxide
- (2009) Jinhee Kwon et al. Journal of Physical Chemistry C
- Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
- (2009) Vikrant R. Rai et al. Journal of Physical Chemistry C
- Titania Nanofilm with Electrical Switching Effects upon Hydrogen/Air Exposure at Room Temperature
- (2009) Manippady K. Kumar et al. Journal of Physical Chemistry C
- Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
- (2009) Nicholas G. Kubala et al. Journal of Physical Chemistry C
- Growth of Noble Metal Ru Thin Films by Liquid Injection Atomic Layer Deposition
- (2009) Seong Keun Kim et al. Journal of Physical Chemistry C
- Atomic Layer Deposition of Titanium Dioxide Thin Films from Cp*Ti(OMe)3 and Ozone
- (2009) Martin Rose et al. Journal of Physical Chemistry C
- Surface Acidity and Properties of TiO2/SiO2 Catalysts Prepared by Atomic Layer Deposition: UV−visible Diffuse Reflectance, DRIFTS, and Visible Raman Spectroscopy Studies
- (2009) Junling Lu et al. Journal of Physical Chemistry C
- SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy
- (2009) B. B. Burton et al. Journal of Physical Chemistry C
- Surface Passivation of Nanoporous TiO2 via Atomic Layer Deposition of ZrO2 for Solid-State Dye-Sensitized Solar Cell Applications
- (2009) Tina C. Li et al. Journal of Physical Chemistry C
- Atomic Layer Deposition of MgO Using Bis(ethylcyclopentadienyl)magnesium and H2O
- (2009) B. B. Burton et al. Journal of Physical Chemistry C
- Suppression of Forward Electron Injection from Ru(dcbpy)2(NCS)2 to Nanocrystalline TiO2 Film As a Result of an Interfacial Al2O3 Barrier Layer Prepared with Atomic Layer Deposition
- (2009) Liisa J. Antila et al. Journal of Physical Chemistry Letters
- Investigation of the effects of interface carrier concentration on ZnO thin film transistors fabricated by atomic layer deposition
- (2009) Seokhwan Bang et al. JOURNAL OF PHYSICS D-APPLIED PHYSICS
- Use of atomic layer deposition to improve the stability of silver substrates forin situ, high-temperature SERS measurements
- (2009) Joshy F. John et al. JOURNAL OF RAMAN SPECTROSCOPY
- Low-Temperature Atomic Layer-Deposited TiO2Films with Low Photoactivity
- (2009) Xinhua Liang et al. JOURNAL OF THE AMERICAN CERAMIC SOCIETY
- Synthesis and Gas Sensing Properties of TiO2-ZnO Core-Shell Nanofibers
- (2009) Jae Young Park et al. JOURNAL OF THE AMERICAN CERAMIC SOCIETY
- Direct Atomic-Scale Observation of Redox-Induced Cation Dynamics in an Oxide-Supported Monolayer Catalyst: WOx/α-Fe2O3(0001)
- (2009) Zhenxing Feng et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Copper−Metal Deposition on Self Assembled Monolayer for Making Top Contacts in Molecular Electronic Devices
- (2009) Oliver Seitz et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- TiO2/TiSi2Heterostructures for High-Efficiency Photoelectrochemical H2O Splitting
- (2009) Yongjing Lin et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Atomic Layer Deposition of Metal Tellurides and Selenides Using Alkylsilyl Compounds of Tellurium and Selenium
- (2009) Viljami Pore et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Growth of Crystalline Gd2O3Thin Films with a High-Quality Interface on Si(100) by Low-Temperature H2O-Assisted Atomic Layer Deposition
- (2009) Andrian P. Milanov et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
- (2009) C. Hossbach et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Selective Wet-Chemical Etching of the Barrier Layer during Formation of Porous Anodic Aluminum Oxide Template
- (2009) Sang-Hyun Park et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Low Temperature Two-Step Atomic Layer Deposition of Tantalum Nitride for Cu Diffusion Barrier
- (2009) Jung-Dae Kwon et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Substrate Materials and Deposition Temperature Dependent Growth Characteristics and Photocatalytic Properties of ALD TiO[sub 2] Films
- (2009) Hsyi-En Cheng et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Plasma-Enhanced ALD of TiO[sub 2] Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
- (2009) Chang-Soo Lee et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO[sub 2]
- (2009) W. J. Maeng et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- ESD and ALD Depositions of Ta[sub 2]O[sub 5] Thin Films Investigated as Barriers to Copper Diffusion for Advanced Metallization
- (2009) A. Lintanf Salaün et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
- (2009) L. Wu et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition of Hafnium Silicate Thin Films Using Tetrakis(diethylamido)hafnium and Tris(2-methyl-2-butoxy)silanol
- (2009) Jian Liu et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition of Hafnium Dioxide on TiN and Self-Assembled Monolayer Molecular Film
- (2009) Zhong Chen et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Plasma-Enhanced Atomic Layer Deposition of TiO[sub 2] and Al-Doped TiO[sub 2] Films Using N[sub 2]O and O[sub 2] Reactants
- (2009) Gyu-Jin Choi et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- The Importance of Moisture Control for EOT Scaling of Hf-Based Dielectrics
- (2009) Lars-Åke Ragnarsson et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Impact of Germanium Surface Conditioning and ALD-growth Temperature on Al[sub 2]O[sub 3]/ZrO[sub 2] High-k Dielectric Stacks
- (2009) Ole Bethge et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Study on Atomic Layer Deposition of Amorphous Rhodium Oxide Thin Films
- (2009) Jani Hämäläinen et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition of TiO[sub 2] Films on Ru Buffered TiN Electrode for Capacitor Applications
- (2009) Gyu-Jin Choi et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO[sub 2]
- (2009) Thomas Waechtler et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Microencapsulation of Moisture-Sensitive CaS:Eu[sup 2+] Particles with Aluminum Oxide
- (2009) N. Avci et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Thermal and Plasma Enhanced Atomic Layer Deposition of Al[sub 2]O[sub 3] on GaAs Substrates
- (2009) Sonja Sioncke et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Liquid Injection Atomic Layer Deposition of Crystalline TiO[sub 2] Thin Films with a Smooth Morphology from Ti(O-i-Pr)[sub 2](DPM)[sub 2]
- (2009) Seong Keun Kim et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- H[sub 2]O- and O[sub 3]-Based Atomic Layer Deposition of High-κ Dielectric Films on GeO[sub 2] Passivation Layers
- (2009) A. Delabie et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- HfO[sub 2]/HfO[sub x]N[sub y]/HfO[sub 2] Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
- (2009) W. J. Maeng et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Area-Selective Atomic Layer Deposition Using Self-Assembled Monolayer and Scanning Probe Lithography
- (2009) Wonyoung Lee et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Modeling the Conformality of Atomic Layer Deposition: The Effect of Sticking Probability
- (2009) J. Dendooven et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
- (2009) J. Musschoot et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Enhanced Stability of LiCoO[sub 2] Cathodes in Lithium-Ion Batteries Using Surface Modification by Atomic Layer Deposition
- (2009) Yoon Seok Jung et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- High Temperature Atomic Layer Deposition of Ruthenium from N,N-Dimethyl-1-ruthenocenylethylamine
- (2009) Kaupo Kukli et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Impact of Precursor Chemistry and Process Conditions on the Scalability of ALD HfO[sub 2] Gate Dielectrics
- (2009) Johan Swerts et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition of Strontium Titanate Films Using Sr([sup t]Bu[sub 3]Cp)[sub 2] and Ti(OMe)[sub 4]
- (2009) M. Popovici et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Selective-Area Atomic Layer Deposition Using Poly(vinyl pyrrolidone) as a Passivation Layer
- (2009) Elina Färm et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- High Quality Area-Selective Atomic Layer Deposition Co Using Ammonia Gas as a Reactant
- (2009) Han-Bo-Ram Lee et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
- (2009) Seokhoon Kim et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
- (2009) Hyungseok Hong et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Extra-Low Temperature Growth of ZnO Thin Films by Atomic Layer Deposition
- (2009) E. Guziewicz et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Microstructure of Intrinsic ZnO Thin Film Grown by Using Atomic Layer Deposition
- (2009) Jae-Sung Hur et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Effects of Confinement on the Valley Splitting of Si Quantum Structures
- (2009) S. N. Ko et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
- (2009) Woong-Sun Kim et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Influence of a ZnO Coating on the Photoluminescence Properties of SnO2 Nanobelts
- (2009) Sunghoon Park et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Characteristics of Zinc-Oxide-Sulfide-Mixed Films Deposited by Using Atomic Layer Deposition
- (2009) Sunyeol Jeon et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Effect of Process Parameters on Remote PEALD for HighlyTransparent ZnO Film Growth
- (2009) Sung-Ku Kwon et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- ALD-Grown ZnO Thin-Film Transistor with a Polymeric Dielectric
- (2009) Woon-Seop Choi JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
- (2009) Hyeong-Seon Yun et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Characteristics of La$_2$O$_3$ Thin Films Deposited Using the ECR Atomic Layer Deposition Method
- (2009) Woong-Sun Kim et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
- (2009) Dae-Yong Moon et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Atomic Layer Deposition of Ruthenium and Ruthenium-oxide ThinFilms by Using a Ru(EtCp)$_{2}$ Precursor and Oxygen Gas
- (2009) Woo-Hee Kim et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Deposition of Al$_{2}$O$_{3}$ by Using ECR-ALD for OrganicSubstrate Devices
- (2009) Woong-Sun Kim et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
- (2009) Junghoon Joo et al. JOURNAL OF THE KOREAN PHYSICAL SOCIETY
- High-temperature metal coating for modification of photonic band edge position
- (2009) Timothy A. Walsh et al. JOURNAL OF THE OPTICAL SOCIETY OF AMERICA B-OPTICAL PHYSICS
- Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
- (2009) Sumit Kumar et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
- (2009) Pieter C. Rowlette et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Mechanical and tribological property comparison of melt-compounded nanocomposites of atomic-layer-deposition-coated polyamide particles and commercial nanofillers
- (2009) K. Nevalainen et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Investigation of interfacial layer development between thin Al2O3 films grown using atomic layer deposition and Si(100), Ge(100), or GaAs(100)
- (2009) L. Lamagna et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
- (2009) G. B. Rayner et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Chemical and structural properties of atomic layer deposited La2O3 films capped with a thin Al2O3 layer
- (2009) X. L. Li et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Atomic layer deposition of GaN using GaCl3 and NH3
- (2009) Oh Hyun Kim et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Characterization of ALD copper thin films on palladium seed layers
- (2009) Irene J. Hsu et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
- (2009) A. J. M. Mackus et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Physicochemical and electrical characterizations of atomic layer deposition grown HfO[sub 2] on TiN and Pt for metal-insulator-metal application
- (2009) C. Jorel et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Electrical properties of thin zirconium and hafnium oxide high-k gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursors
- (2009) S. Dueñas et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Irradiation effect on dielectric properties of hafnium and gadolinium oxide gate dielectrics
- (2009) H. García et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- HfO[sub 2]∕Si interface formation in atomic layer deposition films: An in situ investigation
- (2009) Massimo Tallarida et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Ultraviolet photodetector fabricated from atomic-layer-deposited ZnO films
- (2009) C. X. Shan et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer deposition
- (2009) Kaupo Kukli et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Epitaxial growth of high-κ TiO[sub 2] rutile films on RuO[sub 2] electrodes
- (2009) K. Fröhlich et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Effect of annealing and electrical properties of high-κ thin films grown by atomic layer deposition using carboxylic acids as oxygen source
- (2009) E. Rauwel et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching
- (2009) Junbo Feng et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Titania Nanostructures Fabricated by Atomic Layer Deposition Using Spherical Protein Cages
- (2009) Hyunbin Kim et al. LANGMUIR
- Identification and Passivation of Defects in Self-Assembled Monolayers
- (2009) Michael J. Preiner et al. LANGMUIR
- Atomic Layer Deposition of Aluminum Oxide on Carboxylic Acid-Terminated Self-Assembled Monolayers
- (2009) Meng Li et al. LANGMUIR
- Toward Plasmonic Solar Cells: Protection of Silver Nanoparticles via Atomic Layer Deposition of TiO2
- (2009) Stacey D. Standridge et al. LANGMUIR
- Reaction Mechanism Studies on Atomic Layer Deposition of Nb2O5from Nb(OEt)5and Water
- (2009) Kjell Knapas et al. LANGMUIR
- Atomic Layer Deposition and Abrupt Wetting Transitions on Nonwoven Polypropylene and Woven Cotton Fabrics
- (2009) G. Kevin Hyde et al. LANGMUIR
- Pore sealing of mesoporous silica low-k dielectrics by oxygen and argon plasma treatments
- (2009) Chih-Chieh Chang et al. MICROELECTRONIC ENGINEERING
- Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning (Invited Paper)
- (2009) Matty Caymax et al. MICROELECTRONIC ENGINEERING
- Deposition of HfO2 on InAs by atomic-layer deposition
- (2009) D. Wheeler et al. MICROELECTRONIC ENGINEERING
- Electrical properties of atomic-layer-deposited La2O3 films using a novel La formamidinate precursor and ozone
- (2009) B. Lee et al. MICROELECTRONIC ENGINEERING
- Atomic layer deposition of tantalum oxide thin films for their use as diffusion barriers in microelectronic devices
- (2009) A. Lintanf-Salaün et al. MICROELECTRONIC ENGINEERING
- Texture of atomic layer deposited ruthenium
- (2009) J. Musschoot et al. MICROELECTRONIC ENGINEERING
- Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
- (2009) Bum Ho Choi et al. MICROELECTRONIC ENGINEERING
- Atomic layer deposition of high capacitance density Ta2O5–ZrO2 based dielectrics for metal–insulator–metal structures
- (2009) Indrek Jõgi et al. MICROELECTRONIC ENGINEERING
- Driving characteristics of the electrowetting-on-dielectric device using atomic-layer-deposited aluminum oxide as the dielectric
- (2009) Jong-hyeon Chang et al. Microfluidics and Nanofluidics
- Structural and chemical modification of porous alumina membranes
- (2009) Leonora Velleman et al. MICROPOROUS AND MESOPOROUS MATERIALS
- Ionic Field Effect Transistors with Sub-10 nm Multiple Nanopores
- (2009) Sung-Wook Nam et al. NANO LETTERS
- Surface Modification of Yttria-Stabilized Zirconia Electrolyte by Atomic Layer Deposition
- (2009) Cheng-Chieh Chao et al. NANO LETTERS
- Amplified spontaneous emission from ZnO in n-ZnO/ZnO nanodots–SiO2composite/p-AlGaN heterojunction light-emitting diodes
- (2009) Ying Tsang Shih et al. NANOTECHNOLOGY
- Atomic layer deposition of quantum-confined ZnO nanostructures
- (2009) David M King et al. NANOTECHNOLOGY
- ZnTe–ZnO core–shell radial heterostructures grown by the combination of molecular beam epitaxy and atomic layer deposition
- (2009) E Janik et al. NANOTECHNOLOGY
- Ta2O5- and TiO2-based nanostructures made by atomic layer deposition
- (2009) Marianna Kemell et al. NANOTECHNOLOGY
- Atomic layer deposition of ZnS nanotubes
- (2009) Sh Farhangfar et al. NANOTECHNOLOGY
- An efficient Si light-emitting diode based on an n- ZnO/SiO2–Si nanocrystals-SiO2/p-Si heterostructure
- (2009) Edward Sun et al. NANOTECHNOLOGY
- Synthesis of SnO2–ZnO core–shell nanofibers via a novel two-step process and their gas sensing properties
- (2009) Sun-Woo Choi et al. NANOTECHNOLOGY
- Atomic layer deposition on gram quantities of multi-walled carbon nanotubes
- (2009) Andrew S Cavanagh et al. NANOTECHNOLOGY
- Nanotubular metal–insulator–metal capacitor arrays for energy storage
- (2009) Parag Banerjee et al. Nature Nanotechnology
- Elastic behaviour of titanium dioxide films on polyimide substrates studied by in situ tensile testing in a X-ray diffractometer
- (2009) Elza Bontempi et al. NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS
- Control of thermal deformation in dielectric mirrors using mechanical design and atomic layer deposition
- (2009) Nicholas T. Gabriel et al. OPTICS LETTERS
- Silicon surface passivation by ultrathin Al2O3films synthesized by thermal and plasma atomic layer deposition
- (2009) G. Dingemans et al. Physica Status Solidi-Rapid Research Letters
- The controlled deposition of metal oxides onto carbon nanotubes by atomic layer deposition: examples and a case study on the application of V2O4 coated nanotubes in gas sensing
- (2009) Marc-Georg Willinger et al. PHYSICAL CHEMISTRY CHEMICAL PHYSICS
- Low-temperature ZnO atomic layer deposition on biotemplates: flexible photocatalytic ZnO structures from eggshell membranes
- (2009) Seung-Mo Lee et al. PHYSICAL CHEMISTRY CHEMICAL PHYSICS
- Phase transformations in titanium dioxide thin films during chemical synthesis under strongly nonequilibrium conditions
- (2009) A. P. Belyaev et al. PHYSICS OF THE SOLID STATE
- Plasma-Assisted Atomic Layer Deposition of Al2O3at Room Temperature
- (2009) Tommi O. Kääriäinen et al. Plasma Processes and Polymers
- Adhesion of Ti and TiC Coatings on PMMA Subject to Plasma Treatment: Effect of Intermediate Layers of Al2O3and TiO2Deposited by Atomic Layer Deposition
- (2009) Tommi O. Kääriäinen et al. Plasma Processes and Polymers
- Effect of the substrate nature on the formation of thin titanium dioxide films by molecular layering
- (2009) V. V. Antipov et al. RUSSIAN JOURNAL OF APPLIED CHEMISTRY
- Greatly Increased Toughness of Infiltrated Spider Silk
- (2009) S.-M. Lee et al. SCIENCE
- Atomic layer-deposited platinum in high-k/metal gate stacks
- (2009) Christoph Henkel et al. SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Photoluminescence, electrical and structural properties of ZnO films, grown by ALD at low temperature
- (2009) E Przeździecka et al. SEMICONDUCTOR SCIENCE AND TECHNOLOGY
- Controlled Growth of Platinum Nanoparticles on Strontium Titanate Nanocubes by Atomic Layer Deposition
- (2009) Steven T. Christensen et al. Small
- Tailoring the Pore Size and Architecture of CeO2/TiO2Core/Shell Inverse Opals by Atomic Layer Deposition
- (2009) Ivano Alessandri et al. Small
- Atomic Layer Deposition of Platinum Nanoparticles on Carbon Nanotubes for Application in Proton-Exchange Membrane Fuel Cells
- (2009) Chueh Liu et al. Small
- Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
- (2009) Dong Jin Park et al. SOLID-STATE ELECTRONICS
- Structural characterization of TiO2–Cr2O3 nanolaminates grown by atomic layer deposition
- (2009) V. Sammelselg et al. SURFACE & COATINGS TECHNOLOGY
- Surface chemistry of (2,4-dimethylpentadienyl)(ethylcyclopentadienyl)Ru on polycrystalline Ta
- (2009) Kelly M. Thom et al. SURFACE SCIENCE
- Low temperature atomic layer deposition of high-k dielectric stacks for scaled metal-oxide-semiconductor devices
- (2009) Ole Bethge et al. THIN SOLID FILMS
- Growth studies and characterization of silicon nitride thin films deposited by alternating exposures to Si2Cl6 and NH3
- (2009) Kwangchol Park et al. THIN SOLID FILMS
- Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
- (2009) Woong-Sun Kim et al. THIN SOLID FILMS
- Molecular layer deposition of ZrO2-based organic–inorganic nanohybrid thin films for organic thin film transistors
- (2009) Byoung H. Lee et al. THIN SOLID FILMS
- Oxide heterogrowth on ion-exfoliated thin-film complex oxide substrates
- (2009) Tsung-Liang Chen et al. THIN SOLID FILMS
- Thin films of In2O3 by atomic layer deposition using In(acac)3
- (2009) O. Nilsen et al. THIN SOLID FILMS
- Atomic layer deposition of an HfO2 thin film using Hf(O-iPr)4
- (2009) Jeong Chan Kim et al. THIN SOLID FILMS
- Ultrathin tunnel insulator films on silicon for electrochemiluminescence studies
- (2009) A.J. Niskanen et al. THIN SOLID FILMS
- Ru thin film grown on TaN by plasma enhanced atomic layer deposition
- (2009) Qi Xie et al. THIN SOLID FILMS
- Atomic layer deposition and characterization of biocompatible hydroxyapatite thin films
- (2009) Matti Putkonen et al. THIN SOLID FILMS
- Interface roughness effect between gate oxide and metal gate on dielectric property
- (2009) J.Y. Son et al. THIN SOLID FILMS
- Fabrication of epitaxial ZnO films by atomic-layer deposition with interrupted flow
- (2009) Ching-Shun Ku et al. THIN SOLID FILMS
- Atomic layer deposition of MnO using Bis(ethylcyclopentadienyl)manganese and H2O
- (2009) B.B. Burton et al. THIN SOLID FILMS
- ZnO layers grown by Atomic Layer Deposition: A new material for transparent conductive oxide
- (2009) M. Godlewski et al. THIN SOLID FILMS
- Properties of atomic layer deposited HfO2 thin films
- (2009) Justin C. Hackley et al. THIN SOLID FILMS
- Titanium dioxide thin films, their structure and its effect on their photoactivity and photocatalytic properties
- (2009) M.-L. Kääriäinen et al. THIN SOLID FILMS
- Atomic layer deposition of HfO2 on self-assembled monolayer-passivated Ge surfaces
- (2009) Kibyung Park et al. THIN SOLID FILMS
- Monocrystalline zinc oxide films grown by atomic layer deposition
- (2009) Ł. Wachnicki et al. THIN SOLID FILMS
- Growth of RuO2 thin films by liquid injection atomic layer deposition
- (2009) K. Hušeková et al. THIN SOLID FILMS
- In situ studies of the atomic layer deposition of thin HfO2 dielectrics by ultra high vacuum atomic force microscope
- (2009) Krzysztof Kolanek et al. THIN SOLID FILMS
- Native oxide consumption during the atomic layer deposition of TiO2 films on GaAs (100) surfaces
- (2009) Theodosia Gougousi et al. THIN SOLID FILMS
- Low temperature atomic layer deposition of titania thin films
- (2009) G. Triani et al. THIN SOLID FILMS
- Atomic layer deposition of HfO2: Growth initiation study on metallic underlayers
- (2009) Christopher L. Platt et al. THIN SOLID FILMS
- Electrical characterisation of HfYO MIM-structures deposited by ALD
- (2009) T. Roessler et al. THIN SOLID FILMS
- Atomic layer deposited (ALD) TiO2 and TiO2−x-Nx thin film photocatalysts in salicylic acid decomposition
- (2009) S. H. Vilhunen et al. WATER SCIENCE AND TECHNOLOGY
- Atomic Layer Deposition of UV-Absorbing ZnO Films on SiO2 and TiO2 Nanoparticles Using a Fluidized Bed Reactor
- (2008) David M. King et al. ADVANCED FUNCTIONAL MATERIALS
- Al-Doped TiO2 Films with Ultralow Leakage Currents for Next Generation DRAM Capacitors
- (2008) Seong Keun Kim et al. ADVANCED MATERIALS
- Aerogel Templated ZnO Dye-Sensitized Solar Cells
- (2008) Thomas W. Hamann et al. ADVANCED MATERIALS
- Transparent and Photo-stable ZnO Thin-film Transistors to Drive an Active Matrix Organic-Light- Emitting-Diode Display Panel
- (2008) Sang-Hee K. Park et al. ADVANCED MATERIALS
- Atomic Layer Deposition of ZnO in Quantum Dot Thin Films
- (2008) Alexandre Pourret et al. ADVANCED MATERIALS
- Non-Aqueous Routes to Metal Oxide Thin Films by Atomic Layer Deposition
- (2008) Erwan Rauwel et al. ANGEWANDTE CHEMIE-INTERNATIONAL EDITION
- A Practical, Self-Catalytic, Atomic Layer Deposition of Silicon Dioxide
- (2008) Julien Bachmann et al. ANGEWANDTE CHEMIE-INTERNATIONAL EDITION
- TiO2 microstructures by inversion of macroporous silicon using atomic layer deposition
- (2008) A. Langner et al. APPLIED PHYSICS A-MATERIALS SCIENCE & PROCESSING
- Impact of Surface Hydrophilicization prior to Atomic Layer Deposition for HfO2/Si Direct-Contact Gate Stacks
- (2008) Yukinori Morita et al. Applied Physics Express
- S passivation of GaAs and band bending reduction upon atomic layer deposition of HfO2/Al2O3 nanolaminates
- (2008) F. S. Aguirre-Tostado et al. APPLIED PHYSICS LETTERS
- Spontaneous nanoclustering of ZrO2 in atomic layer deposited LayZr1−yOx thin films
- (2008) K. B. Jinesh et al. APPLIED PHYSICS LETTERS
- Pre-atomic layer deposition surface cleaning and chemical passivation of (100) In0.2Ga0.8As and deposition of ultrathin Al2O3 gate insulators
- (2008) Byungha Shin et al. APPLIED PHYSICS LETTERS
- Effect of rapid thermal annealing on optical and interfacial properties of atomic-layer-deposited Lu2O3 films on Si (100)
- (2008) H. L. Lu et al. APPLIED PHYSICS LETTERS
- Atomic-layer-deposited nanostructures for graphene-based nanoelectronics
- (2008) Y. Xuan et al. APPLIED PHYSICS LETTERS
- In situ metal-organic chemical vapor deposition atomic-layer deposition of aluminum oxide on GaAs using trimethyaluminum and isopropanol precursors
- (2008) Cheng-Wei Cheng et al. APPLIED PHYSICS LETTERS
- Synthesis and optical properties of ZnO and carbon nanotube based coaxial heterostructures
- (2008) D. S. Kim et al. APPLIED PHYSICS LETTERS
- Interfacial reaction of atomic-layer-deposited HfO2 film as a function of the surface state of an n-GaAs (100) substrate
- (2008) C. Y. Kim et al. APPLIED PHYSICS LETTERS
- Electrical characteristics of metal-oxide-semiconductor capacitors on p-GaAs using atomic layer deposition of ultrathin HfAlO gate dielectric
- (2008) Rahul Suri et al. APPLIED PHYSICS LETTERS
- The effect of aluminum oxide incorporation on the material and electrical properties of hafnium oxide on Ge
- (2008) Monica Sawkar-Mathur et al. APPLIED PHYSICS LETTERS
- Energy barriers at interfaces of (100)GaAs with atomic layer deposited Al2O3 and HfO2
- (2008) V. V. Afanas’ev et al. APPLIED PHYSICS LETTERS
- In situ H2S passivation of In0.53Ga0.47As∕InP metal-oxide-semiconductor capacitors with atomic-layer deposited HfO2 gate dielectric
- (2008) E. O’Connor et al. APPLIED PHYSICS LETTERS
- Effects of postnitridation annealing on band gap and band offsets of nitrided Hf-silicate films
- (2008) K. B. Chung et al. APPLIED PHYSICS LETTERS
- Measuring the microwave frequency relative permittivity of polyetherimide/BaTi4O9 composites by using a rectangular cavity resonator
- (2008) Cheng-Fu Yang et al. APPLIED PHYSICS LETTERS
- An evaluation of thermal stability of TiB2 metal gate on Hf silicate for p-channel metal oxide semiconductor application
- (2008) S. Y. Son et al. APPLIED PHYSICS LETTERS
- Formation of an oxide-free Ge∕TiO2 interface by atomic layer deposition on brominated Ge
- (2008) Pendar Ardalan et al. APPLIED PHYSICS LETTERS
- Reduction in threading dislocation densities in AlN epilayer by introducing a pulsed atomic-layer epitaxial buffer layer
- (2008) L. W. Sang et al. APPLIED PHYSICS LETTERS
- Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
- (2008) E. Langereis et al. APPLIED PHYSICS LETTERS
- Creating large area molecular electronic junctions using atomic layer deposition
- (2008) Michael J. Preiner et al. APPLIED PHYSICS LETTERS
- Permittivity enhancement of hafnium dioxide high-κ films by cerium doping
- (2008) P. R. Chalker et al. APPLIED PHYSICS LETTERS
- Interface of atomic layer deposited HfO2 films on GaAs (100) surfaces
- (2008) Justin C. Hackley et al. APPLIED PHYSICS LETTERS
- Reproducible growth of p-type ZnO:N using a modified atomic layer deposition process combined with dark annealing
- (2008) L. Dunlop et al. APPLIED PHYSICS LETTERS
- Half-cycle atomic layer deposition reaction studies of Al2O3 on (NH4)2S passivated GaAs(100) surfaces
- (2008) M. Milojevic et al. APPLIED PHYSICS LETTERS
- Stable ZnO thin film transistors by fast open air atomic layer deposition
- (2008) David H. Levy et al. APPLIED PHYSICS LETTERS
- Conformal Al2O3 dielectric layer deposited by atomic layer deposition for graphene-based nanoelectronics
- (2008) Bongki Lee et al. APPLIED PHYSICS LETTERS
- GaAs interfacial self-cleaning by atomic layer deposition
- (2008) C. L. Hinkle et al. APPLIED PHYSICS LETTERS
- Indium-free transparent organic light emitting diodes with Al doped ZnO electrodes grown by atomic layer and pulsed laser deposition
- (2008) J. Meyer et al. APPLIED PHYSICS LETTERS
- Fabrication of ZnO/Al2O3 core–shell nanostructures and crystalline Al2O3 nanotube
- (2008) Jingyun Huang et al. APPLIED SURFACE SCIENCE
- Atomic layer deposition of Cr2O3 thin films: Effect of crystallization on growth and properties
- (2008) Aivar Tarre et al. APPLIED SURFACE SCIENCE
- Passivation of GaAs surface by atomic-layer-deposited titanium nitride
- (2008) M. Bosund et al. APPLIED SURFACE SCIENCE
- Structural properties of Al2O3 dielectrics grown on TiN metal substrates by atomic layer deposition
- (2008) Chun-I. Hsieh et al. APPLIED SURFACE SCIENCE
- Capture and alignment of phi29 viral particles in sub-40 nanometer porous alumina membranes
- (2008) Jeong-Mi Moon et al. BIOMEDICAL MICRODEVICES
- Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma andtert-Butylimido-tris(diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
- (2008) Moon-Kyun Song et al. CHEMICAL VAPOR DEPOSITION
- Atomic Layer Deposition of Iron Oxide Thin Films and Nanotubes using Ferrocene and Oxygen as Precursors
- (2008) Ma˚rten Rooth et al. CHEMICAL VAPOR DEPOSITION
- The Atomic Layer Deposition of HfO2and ZrO2using Advanced Metallocene Precursors and H2O as the Oxygen Source
- (2008) Charles L. Dezelah et al. CHEMICAL VAPOR DEPOSITION
- Bis(tert-butylimido)-bis(dialkylamido) Complexes of Molybdenum as Atomic Layer Deposition (ALD) Precursors for Molybdenum Nitride: the Effect of the Alkyl Group
- (2008) Ville Miikkulainen et al. CHEMICAL VAPOR DEPOSITION
- An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
- (2008) Michael T. Seman et al. CHEMICAL VAPOR DEPOSITION
- Atomic Layer Deposition of LaF3 Thin Films using La(thd)3 and TiF4 as Precursors
- (2008) Tero Pilvi et al. CHEMICAL VAPOR DEPOSITION
- Coating of Highly Porous Fiber Matrices by Atomic Layer Deposition
- (2008) Marianna Kemell et al. CHEMICAL VAPOR DEPOSITION
- Two-Stage Atomic Layer Deposition of Aluminum Oxide on Alkanethiolate Self-Assembled Monolayers Usingn-Propanol and Water as Oxygen Sources
- (2008) Nobuhiko P. Kobayashi et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Aluminum Oxide Thin Films from a Heteroleptic, Amidinate-Containing Precursor
- (2008) Allison L. Brazeau et al. CHEMISTRY OF MATERIALS
- Nanostructured Pure Anatase Titania Tubes Replicated from Electrospun Polymer Fiber Templates by Atomic Layer Deposition
- (2008) G.-M. Kim et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Iridium Oxide Thin Films from Ir(acac)3and Ozone
- (2008) Jani Hämäläinen et al. CHEMISTRY OF MATERIALS
- Growth Behavior of Al-Doped TiO2Thin Films by Atomic Layer Deposition
- (2008) Seong Keun Kim et al. CHEMISTRY OF MATERIALS
- Rapid SiO2Atomic Layer Deposition Using Tris(tert-pentoxy)silanol
- (2008) B. B. Burton et al. CHEMISTRY OF MATERIALS
- Hierarchical Three-Dimensional ZnO and Their Shape-Preserving Transformation into Hollow ZnAl2O4Nanostructures
- (2008) Yang Yang et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of Platinum Oxide and Metallic Platinum Thin Films from Pt(acac)2and Ozone
- (2008) Jani Hämäläinen et al. CHEMISTRY OF MATERIALS
- Application of Atomic Layer Deposition of Platinum to Solid Oxide Fuel Cells
- (2008) Xirong Jiang et al. CHEMISTRY OF MATERIALS
- Detection of a Formate Surface Intermediate in the Atomic Layer Deposition of High-κ Dielectrics Using Ozone
- (2008) Jinhee Kwon et al. CHEMISTRY OF MATERIALS
- In Situ Reaction Mechanism Studies on Atomic Layer Deposition of ZrO2from (CpMe)2Zr(OMe)Me and Water or Ozone
- (2008) Kjell Knapas et al. CHEMISTRY OF MATERIALS
- Atomic Layer Deposition of MgF2Thin Films Using TaF5as a Novel Fluorine Source
- (2008) Tero Pilvi et al. CHEMISTRY OF MATERIALS
- The Role of the Methyl and Hydroxyl Groups of Low-k Dielectric Films on the Nucleation of Ruthenium by ALD
- (2008) Jaeyeong Heo et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Visible Light Activity of Nitrogen-Doped TiO[sub 2] Thin Films Grown by Atomic Layer Deposition
- (2008) Hsyi-En Cheng et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Memory Characteristics of Atomic-Layer-Deposited High-κ HfAlO Nanocrystal Capacitors
- (2008) S. Maikap et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Atomic Layer Deposition of Silicon Oxide Thin Films by Alternating Exposures to Si[sub 2]Cl[sub 6] and O[sub 3]
- (2008) Seung-Won Lee et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Atomic Layer Deposition of ZrO2 Thin Films with High Dielectric Constant on TiN Substrates
- (2008) Seong Keun Kim et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Controlling the Composition of Doped Materials by ALD: A Case Study for Al-Doped TiO[sub 2] Films
- (2008) Seong Keun Kim et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
- (2008) Sung-Soo Yim et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Formation of Ru Nanotubes by Atomic Layer Deposition onto an Anodized Aluminum Oxide Template
- (2008) Do-Joong Lee et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
- (2008) L. Wu et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
- (2008) Sung-Wook Kim et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Growth of High-Dielectric-Constant TiO[sub 2] Films in Capacitors with RuO[sub 2] Electrodes
- (2008) K. Fröhlich et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Atomic Layer Deposition of High-Permittivity Yttrium-Doped HfO[sub 2] Films
- (2008) Jaakko Niinistö et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Surface modification of thermoplastics by atomic layer deposition of Al2O3 and TiO2 thin films
- (2008) Marianna Kemell et al. EUROPEAN POLYMER JOURNAL
- Preparation of tin oxide nanocoatings on borosilicate glass by the molecular layering method
- (2008) V. V. Antipov et al. GLASS PHYSICS AND CHEMISTRY
- ZnO Thin-Film Transistor Ring Oscillators with 31-ns Propagation Delay
- (2008) Jie Sun et al. IEEE ELECTRON DEVICE LETTERS
- Low-Threshold Stimulated Emission in ZnO Thin Films Grown by Atomic Layer Deposition
- (2008) Hsing-Chao Chen et al. IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS
- Ultraviolet Electroluminescence From n-ZnO–SiO$_{2}$–ZnO Nanocomposite/p-GaN Heterojunction Light-Emitting Diodes at Forward and Reverse Bias
- (2008) M.K. Wu et al. IEEE PHOTONICS TECHNOLOGY LETTERS
- Atomic-Layer-Deposited Alumina $(\hbox{Al}_{2}\hbox{O}_{3})$ Coating on Thin-Film Cryoresistors
- (2008) O.M. Hahtela et al. IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT
- Atomic Layer Deposition Al$_{2}$O$_{3}$ Films for Permanent Magnet Isolation in TMR Read Heads
- (2008) M.C. Kautzky et al. IEEE TRANSACTIONS ON MAGNETICS
- In situsynthesis of TiO2-functionalized metal nanoparticles
- (2008) David M. King et al. INDUSTRIAL & ENGINEERING CHEMISTRY RESEARCH
- Synthesis, Characterization, and Thermal Properties of Homoleptic Rare-Earth Guanidinates: Promising Precursors for MOCVD and ALD of Rare-Earth Oxide Thin Films
- (2008) Andrian P. Milanov et al. INORGANIC CHEMISTRY
- Characteristics of Metal–Oxide–Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
- (2008) Sanghyun Woo et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Growth of Dielectric Al2O3Films by Atomic Layer Deposition
- (2008) Elisa Ghiraldelli et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Novel Contact-Plug Process with Low-Resistance Nucleation Layer Using Diborane-Reduction Tungsten Atomic-Layer-Deposition Method for 32 nm Complementary Metal–Oxide–Semiconductor Devices and Beyond
- (2008) Akie Yutani et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Optical AlxTi1-xOyFilms Grown by Plasma Enhanced Atomic Layer Deposition
- (2008) Jung Wook Lim et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Effect of chlorine residue on electrical performance of atomic layer deposited hafnium silicate
- (2008) Qing-Qing Sun et al. JOURNAL OF APPLIED PHYSICS
- Effects of surface treatments using O3 and NH3 on electrical properties and chemical structures of high-k HfO2 dielectric films on strained Si1−xGex∕Si substrates
- (2008) Tae Joo Park et al. JOURNAL OF APPLIED PHYSICS
- The initial atomic layer deposition of HfO2∕Si(001) as followed in situ by synchrotron radiation photoelectron spectroscopy
- (2008) Massimo Tallarida et al. JOURNAL OF APPLIED PHYSICS
- Berreman effect in infrared absorption spectroscopy of ionic oxide coatings formed by atomic layer deposition on three-dimensional structures
- (2008) Giovanna Scarel et al. JOURNAL OF APPLIED PHYSICS
- Electronic structure of the interface of aluminum nitride with Si(100)
- (2008) M. Badylevich et al. JOURNAL OF APPLIED PHYSICS
- HfO2 gate dielectric on (NH4)2S passivated (100) GaAs grown by atomic layer deposition
- (2008) P. T. Chen et al. JOURNAL OF APPLIED PHYSICS
- Silicon surface passivation by atomic layer deposited Al2O3
- (2008) B. Hoex et al. JOURNAL OF APPLIED PHYSICS
- Nucleation kinetics of Ru on silicon oxide and silicon nitride surfaces deposited by atomic layer deposition
- (2008) Sung-Soo Yim et al. JOURNAL OF APPLIED PHYSICS
- Cubic phase stabilization in nanoparticles of hafnia-zirconia oxides: Particle-size and annealing environment effects
- (2008) Chih-Hsin Lu et al. JOURNAL OF APPLIED PHYSICS
- Extremely low temperature growth of ZnO by atomic layer deposition
- (2008) E. Guziewicz et al. JOURNAL OF APPLIED PHYSICS
- The relationship between local order, long range order, and sub-band-gap defects in hafnium oxide and hafnium silicate films
- (2008) D. H. Hill et al. JOURNAL OF APPLIED PHYSICS
- Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
- (2008) S. B. S. Heil et al. JOURNAL OF APPLIED PHYSICS
- Phase control of iridium and iridium oxide thin films in atomic layer deposition
- (2008) Sung-Wook Kim et al. JOURNAL OF APPLIED PHYSICS
- Optical and structural characteristics of ZnO films grown on (0001) sapphire substrates by ALD using DEZn and N2O
- (2008) Ping-Yuan Lin et al. JOURNAL OF CRYSTAL GROWTH
- Atomic layer deposition of titanium dioxide nanostructures using carbon nanosheets as a template
- (2008) Mårten Rooth et al. JOURNAL OF CRYSTAL GROWTH
- Structural and optical properties of low-temperature ZnO films grown by atomic layer deposition with diethylzinc and water precursors
- (2008) I.A. Kowalik et al. JOURNAL OF CRYSTAL GROWTH
- Deposition of ZrO2 and HfO2 thin films by liquid injection MOCVD and ALD using ansa-metallocene zirconium and hafnium precursors
- (2008) Kate Black et al. JOURNAL OF MATERIALS CHEMISTRY
- The surface chemistry of thin film atomic layer deposition (ALD) processes for electronic device manufacturing
- (2008) Francisco Zaera JOURNAL OF MATERIALS CHEMISTRY
- Advanced cyclopentadienyl precursors for atomic layer deposition of ZrO2 thin films
- (2008) Jaakko Niinistö et al. JOURNAL OF MATERIALS CHEMISTRY
- Atomic layer deposition of hafnium oxide from tert-butoxytris(ethylmethylamido)hafnium and ozone: rapid growth, high density and thermal stability
- (2008) Minha Seo et al. JOURNAL OF MATERIALS CHEMISTRY
- Template-directed gas-phase fabrication of oxide nanotubes
- (2008) Changdeuck Bae et al. JOURNAL OF MATERIALS CHEMISTRY
- Non-aqueous sol–gel routes applied to atomic layer deposition of oxides
- (2008) Guylhaine Clavel et al. JOURNAL OF MATERIALS CHEMISTRY
- Amorphous to anatase transformation in atomic layer deposited titania thin films induced by hydrothermal treatment at 120 °C
- (2008) Zhaoming Zhang et al. JOURNAL OF MATERIALS RESEARCH
- Thermochemistry of nanoparticles on a substrate: Zinc oxide on amorphous silica
- (2008) Tatiana Y. Shvareva et al. JOURNAL OF MATERIALS RESEARCH
- Barrier properties of polymer/alumina nanocomposite membranes fabricated by atomic layer deposition
- (2008) Xinhua Liang et al. JOURNAL OF MEMBRANE SCIENCE
- Atomic Layer Deposition of Undoped and Al-Doped ZnO Thin Films Usingthe Zn Alkoxide Precursor Methylzinc Isopropoxide
- (2008) Ki-Seok An et al. JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY
- Growth and Characterization of Titanium Silicate Nanofilms for Gate Oxide Applications
- (2008) Seungjae Lee et al. JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY
- CO Gas Sensing by Ultrathin Tin Oxide Films Grown by Atomic Layer Deposition Using Transmission FTIR Spectroscopy†
- (2008) X. Du et al. JOURNAL OF PHYSICAL CHEMISTRY A
- Carboxylic Acids as Oxygen Sources for the Atomic Layer Deposition of High-κ Metal Oxides
- (2008) Erwan Rauwel et al. Journal of Physical Chemistry C
- Atomic Layer Deposition of TiO2 to Bond Free-Standing Nanoporous Alumina Templates to Gold-Coated Substrates as Planar Optical Waveguide Sensors
- (2008) Lee Kheng Tan et al. Journal of Physical Chemistry C
- Chemisorption of Tetrakis(dimethylamido)titanium on Si(100)-2 × 1: C−H and C−N Bond Reactivity Leading to Low-Temperature Decomposition Pathways
- (2008) Juan Carlos F. Rodríguez-Reyes et al. Journal of Physical Chemistry C
- Influence of Temperature on Evolution of Coaxial ZnO/Al2O3 One-Dimensional Heterostructures: From Core−Shell Nanowires to Spinel Nanotubes and Porous Nanowires
- (2008) Yang et al. Journal of Physical Chemistry C
- Surface Reaction Mechanisms during Ozone-Based Atomic Layer Deposition of Titanium Dioxide
- (2008) Vikrant R. Rai et al. Journal of Physical Chemistry C
- Selective-Area Atomic Layer Deposition Using Poly(methyl methacrylate) Films as Mask Layers
- (2008) Elina Färm et al. Journal of Physical Chemistry C
- Atomic Layer Deposition of Indium Tin Oxide Thin Films Using Nonhalogenated Precursors
- (2008) Jeffrey W. Elam et al. Journal of Physical Chemistry C
- Atomic Layer Deposition of TiO2 on Aerogel Templates: New Photoanodes for Dye-Sensitized Solar Cells
- (2008) Thomas W. Hamann et al. Journal of Physical Chemistry C
- Surface Modification of Au/TiO2 Catalysts by SiO2 via Atomic Layer Deposition
- (2008) Zhen Ma et al. Journal of Physical Chemistry C
- Stability of Silver Nanoparticles Fabricated by Nanosphere Lithography and Atomic Layer Deposition to Femtosecond Laser Excitation
- (2008) Jiha Sung et al. Journal of Physical Chemistry C
- Outer-Sphere Redox Couples as Shuttles in Dye-Sensitized Solar Cells. Performance Enhancement Based on Photoelectrode Modification via Atomic Layer Deposition
- (2008) Thomas W. Hamann et al. Journal of Physical Chemistry C
- Physical and electrical characterization of atomic-layer-deposited Ru nanocrystals embedded into Al2O3 for memory applications
- (2008) Min Zhang et al. JOURNAL OF PHYSICS D-APPLIED PHYSICS
- Deposition temperature effect on electrical properties and interface of high-kZrO2capacitor
- (2008) Joo-Hyung Kim et al. JOURNAL OF PHYSICS D-APPLIED PHYSICS
- Atomic Layer Deposition on Bulk Quantities of Surfactant-Modified Single-Walled Carbon Nanotubes
- (2008) Guo-Dong Zhan et al. JOURNAL OF THE AMERICAN CERAMIC SOCIETY
- Generation of Oxide Nanopatterns by Combining Self-Assembly of S-Layer Proteins and Area-Selective Atomic Layer Deposition
- (2008) Jiurong Liu et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition
- (2008) Robin H. A. Ras et al. JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
- Growth Kinetics and Crystallization Behavior of TiO[sub 2] Films Prepared by Plasma Enhanced Atomic Layer Deposition
- (2008) Qi Xie et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Deposition of TiN and TaN by Remote Plasma ALD for Cu and Li Diffusion Barrier Applications
- (2008) H. C. M. Knoops et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
- (2008) Sung-Wook Kim et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- HfO[sub 2] Atomic Layer Deposition Using HfCl[sub 4]∕H[sub 2]O: The First Reaction Cycle
- (2008) L. Nyns et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Properties of MIS Capacitors Using the Atomic-Layer-Deposited ZnO Semiconductor and Al[sub 2]O[sub 3] Insulator
- (2008) Jaewon Song et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Comparative Study of Flatband Voltage Transients on High-k Dielectric-Based Metal–Insulator–Semiconductor Capacitors
- (2008) S. Dueñas et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Improving Threshold Voltage and Device Performance of Gate-First HfSiON/Metal Gate n-MOSFETs by an ALD La[sub 2]O[sub 3] Capping Layer
- (2008) Satoshi Kamiyama et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Experimental Study of ALD HfO[sub 2] Deposited on Strained Silicon-on-Insulator and Standard SOI
- (2008) D. Gu et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Bis(diethylamino) Silane as the Silicon Precursor in the Atomic Layer Deposition of HfSiO[sub x]
- (2008) Rajesh Katamreddy et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Characteristics of Atomic-Layer-Deposited Al[sub 2]O[sub 3] High-k Dielectric Films Grown on Ge Substrates
- (2008) Chao-Ching Cheng et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
- (2008) Se-Hun Kwon et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
- (2008) Steven Consiglio et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Morphological and Photoelectrochemical Properties of ALD TiO[sub 2] Films
- (2008) Hsyi-En Cheng et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Effects of Surface Treatments on Interfacial Self-Cleaning in Atomic Layer Deposition of Al[sub 2]O[sub 3] on InSb
- (2008) C. H. Hou et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Chemical Bonding, Interfaces, and Defects in Hafnium Oxide∕Germanium Oxynitride Gate Stacks on Ge(100)
- (2008) Yasuhiro Oshima et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition of Hafnium Oxide on Ge and GaAs Substrates: Precursors and Surface Preparation
- (2008) Annelies Delabie et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Electrical Properties of Atomic Layer Deposition HfO[sub 2] and HfO[sub x]N[sub y] on Si Substrates with Various Crystal Orientations
- (2008) W. J. Maeng et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
- (2008) Pierre Caubet et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Physical and Electrical Properties of Hafnium–Zirconium–Oxide Films Grown by Atomic Layer Deposition
- (2008) Seokhwan Bang et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Characteristics of Hafnium–Zirconium–Oxide Film Treated by Remote Plasma Nitridation
- (2008) Seungjun Lee et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition of NiO Films on Si(100) Using Cyclopentadienyl-Type Compounds and Ozone as Precursors
- (2008) H. L. Lu et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Process and Material Properties of HfLaO[sub x] Prepared by Atomic Layer Deposition
- (2008) Wei He et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Tantalum Nitride Atomic Layer Deposition Using (tert-Butylimido)tris(diethylamido)tantalum and Hydrazine
- (2008) B. B. Burton et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
- (2008) Moon-Kyun Song et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Nanoindentation Investigation of HfO[sub 2] and Al[sub 2]O[sub 3] Films Grown by Atomic Layer Deposition
- (2008) K. Tapily et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Switching Power Reduction in Phase Change Memory Cell Using CVD Ge[sub 2]Sb[sub 2]Te[sub 5] and Ultrathin TiO[sub 2] Films
- (2008) Byung Joon Choi et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Electrochemical Properties of Pt Coatings on Ni Prepared by Atomic Layer Deposition
- (2008) Robert R. Hoover et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Composition-Dependent Structural and Electrical Properties of Zr[sub x]Ti[sub y]O[sub 2] Films Grown on RuO[sub 2] Substrate by ALD
- (2008) Hyuk Kwon et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic layer deposition of tin oxide films using tetrakis(dimethylamino) tin
- (2008) Jeffrey W. Elam et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Tungsten atomic layer deposition on cobalt nanoparticles
- (2008) C. A. Wilson et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
- (2008) S. B. S. Heil et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Ferroelectric properties of Bi3.25La0.75Ti3O12 films using HfO2 as buffer layers for nonvolatile-memory field-effect transistors
- (2008) Kyoung-Tae Kim et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Growth and interface of HfO2 films on H-terminated Si from a TDMAH and H2O atomic layer deposition process
- (2008) Justin C. Hackley et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Field emission properties of ZnO nanorods coated with NiO film
- (2008) Ji Hoon Yang et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- Mechanisms of Atomic Layer Deposition on Substrates with Ultrahigh Aspect Ratios
- (2008) S. O. Kucheyev et al. LANGMUIR
- Influence of passivating interlayer on Ge/HfO2 and Ge/Al2O3 interface band diagrams
- (2008) V.V. Afanas’ev et al. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING
- XPS and IPE analysis of HfO2 band alignment with high-mobility semiconductors
- (2008) M. Perego et al. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING
- Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
- (2008) Qi Xie et al. MICROELECTRONIC ENGINEERING
- Resistance switching in amorphous and crystalline binary oxides grown by electron beam evaporation and atomic layer deposition
- (2008) S. Spiga et al. MICROELECTRONIC ENGINEERING
- Study of the interfaces in resistive switching NiO thin films deposited by both ALD and e-beam coupled with different electrodes (Si, Ni, Pt, W, TiN)
- (2008) A. Lamperti et al. MICROELECTRONIC ENGINEERING
- Advanced tungsten plug process for beyond nanometer technology
- (2008) Tuung Luoh et al. MICROELECTRONIC ENGINEERING
- Nanopatterning materials using area selective atomic layer deposition in conjunction with thermochemical surface modification via heated AFM cantilever probe lithography
- (2008) Yueming Hua et al. MICROELECTRONIC ENGINEERING
- Vertically stacked non-volatile memory devices – material considerations
- (2008) M. Godlewski et al. MICROELECTRONIC ENGINEERING
- Infrared spectroscopy and X-ray diffraction studies on the crystallographic evolution of La2O3 films upon annealing
- (2008) D. Tsoutsou et al. MICROELECTRONIC ENGINEERING
- Atomic layer deposition of titanium nitride from TDMAT precursor
- (2008) J. Musschoot et al. MICROELECTRONIC ENGINEERING
- A comparison of liquid and gas phase surface preparation of III–V compound semiconductors for atomic layer deposition
- (2008) F.L. Lie et al. MICROELECTRONIC ENGINEERING
- A novel thermally-stable zirconium amidinate ALD precursor for ZrO2 thin films
- (2008) B. Lee et al. MICROELECTRONIC ENGINEERING
- The influence of growth temperature and precursors’ doses on electrical parameters of ZnO thin films grown by atomic layer deposition technique
- (2008) T. Krajewski et al. MICROELECTRONICS JOURNAL
- Ultralow Loading Pt Nanocatalysts Prepared by Atomic Layer Deposition on Carbon Aerogels
- (2008) Jeffrey S. King et al. NANO LETTERS
- Vanadium Oxide Sensing Layer Grown on Carbon Nanotubes by a New Atomic Layer Deposition Process
- (2008) Marc-Georg Willinger et al. NANO LETTERS
- General Assembly Method for Linear Metal Nanoparticle Chains Embedded in Nanotubes
- (2008) Yong Qin et al. NANO LETTERS
- Radial Electron Collection in Dye-Sensitized Solar Cells
- (2008) Alex B. F. Martinson et al. NANO LETTERS
- Quantum confinement in amorphous TiO2films studied via atomic layer deposition
- (2008) David M King et al. NANOTECHNOLOGY
- Variation of ZnO shell thickness and its effects on the characteristics of coaxial nanowires
- (2008) Hyoun Woo Kim et al. NANOTECHNOLOGY
- Modifying the anti-wetting property of butterfly wings and water strider legs by atomic layer deposition coating: surface materials versus geometry
- (2008) Yong Ding et al. NANOTECHNOLOGY
- Large area nanorings fabricated using an atomic layer deposition Al2O3 spacer for magnetic random access memory application
- (2008) Yong Luo et al. NANOTECHNOLOGY
- The preparation of reusable magnetic and photocatalytic composite nanofibers by electrospinning and atomic layer deposition
- (2008) Eero Santala et al. NANOTECHNOLOGY
- Ru nanostructure fabrication using an anodic aluminum oxide nanotemplate and highly conformal Ru atomic layer deposition
- (2008) Woo-Hee Kim et al. NANOTECHNOLOGY
- Preferential growth of ZnO thin films by the atomic layer deposition technique
- (2008) Swee-Yong Pung et al. NANOTECHNOLOGY
- Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films
- (2008) David M King et al. NANOTECHNOLOGY
- Atomic layer deposition of TiO2nanostructures for self-cleaning applications
- (2008) Charlene J W Ng et al. NANOTECHNOLOGY
- Enhanced OLED performance upon photolithographic patterning by using an atomic-layer-deposited buffer layer
- (2008) Chih-Yu Chang et al. ORGANIC ELECTRONICS
- Improvement of the contact resistance between ITO and pentacene using various metal-oxide interlayers
- (2008) Hyoungsub Kim et al. ORGANIC ELECTRONICS
- Monolayer-precision fabrication of mixed-organic–inorganic nanohybrid superlattices for flexible electronic devices
- (2008) Byoung H. Lee et al. ORGANIC ELECTRONICS
- Effects of surface pretreatment on growth of ZnO on glass substrate
- (2008) Hisao Makino et al. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE
- Crossover between two different magnetization reversal modes in arrays of iron oxide nanotubes
- (2008) J. Escrig et al. PHYSICAL REVIEW B
- Combined experimental and theoretical study of thin hafnia films
- (2008) X. Luo et al. PHYSICAL REVIEW B
- Atomic layer deposition of TiO2 films on particles in a fluidized bed reactor
- (2008) David M. King et al. POWDER TECHNOLOGY
- Micromachined resonators of high Q-factor based on atomic layer deposited alumina
- (2008) Yuan-Jen Chang et al. SENSORS AND ACTUATORS A-PHYSICAL
- Thickness dependence of sensor response for CO gas sensing by tin oxide films grown using atomic layer deposition
- (2008) X. Du et al. SENSORS AND ACTUATORS B-CHEMICAL
- Atomic layer deposited TiO2 films in photodegradation of aqueous salicylic acid
- (2008) Sari Vilhunen et al. SEPARATION AND PURIFICATION TECHNOLOGY
- Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
- (2008) Han-Bo-Ram Lee et al. Small
- Transmission Electron Microscopy in situ Fabrication of ZnO/Al2O3Composite Nanotubes by Electron-Beam-Irradiation-Induced Local Etching of ZnO/Al2O3Core/Shell Nanowires
- (2008) Yang Yang et al. Small
- TEM-Based Metrology for HfO2Layers and Nanotubes Formed in Anodic Aluminum Oxide Nanopore Structures
- (2008) Israel Perez et al. Small
- Effects of annealing temperature of buffer layer on structural and optical properties of ZnO thin film grown by atomic layer deposition
- (2008) C.R. Kim et al. SOLID STATE COMMUNICATIONS
- Preparation of one dimensional Bi2O3-core/ZnO-shell structures by thermal evaporation and atomic layer deposition
- (2008) Sunghoon Park et al. SOLID STATE COMMUNICATIONS
- Thin films of MoN, WN, and perfluorinated silane deposited from dimethylamido precursors as contamination resistant coatings on micro-injection mold inserts
- (2008) Ville Miikkulainen et al. SURFACE & COATINGS TECHNOLOGY
- Interfacial studies of Al2O3 deposited on 4H-SiC(0001)
- (2008) Spyros Diplas et al. SURFACE AND INTERFACE ANALYSIS
- Characterization of lanthanum lutetium oxide thin films grown by atomic layer deposition as an alternative gate dielectric
- (2008) M. Roeckerath et al. THIN SOLID FILMS
- Low-temperature atomic layer deposition of ZnO films on particles in a fluidized bed reactor
- (2008) David M. King et al. THIN SOLID FILMS
- Nitridation and oxynitridation of Si to control interfacial reaction with HfO2
- (2008) Rajesh Katamreddy et al. THIN SOLID FILMS
- Effect oxygen exposure on the quality of atomic layer deposition of ruthenium from bis(cyclopentadienyl)ruthenium and oxygen
- (2008) Sang-Joon Park et al. THIN SOLID FILMS
- Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition
- (2008) D.R.G. Mitchell et al. THIN SOLID FILMS
- ALD growth, thermal treatments and characterisation of Al2O3 layers
- (2008) E. Ghiraldelli et al. THIN SOLID FILMS
- Selective-area atomic layer deposition with microcontact printed self-assembled octadecyltrichlorosilane monolayers as mask layers
- (2008) Elina Färm et al. THIN SOLID FILMS
- Atomic layer deposition of iron(III) oxide on zirconia nanoparticles in a fluidized bed reactor using ferrocene and oxygen
- (2008) Jonathan R. Scheffe et al. THIN SOLID FILMS
- Influence of substrate temperature and Zn-precursors on atomic layer deposition of polycrystalline ZnO films on glass
- (2008) Hisao Makino et al. THIN SOLID FILMS
- Applications of atomic layer deposition to nanofabrication and emerging nanodevices
- (2008) Hyungjun Kim et al. THIN SOLID FILMS
- Etching characteristics of Al2O3 thin films in inductively coupled BCl3/Ar plasma
- (2008) Sun Jin Yun et al. VACUUM
- Effect of film composition and structure on the crystallization point of atomic layer deposited HfAlOx using metal (diethylamino) precursors and ozone
- (2007) Rajesh Katamreddy et al. ACTA MATERIALIA
- Growth of iron cobaltoxides by atomic layer deposition
- (2007) Martin Lie et al. DALTON TRANSACTIONS
- Alumina atomic layer deposition nanocoatings on primary diamond particles using a fluidized bed reactor
- (2007) Xinhua Liang et al. DIAMOND AND RELATED MATERIALS
- TiO[sub 2]∕Al[sub 2]O[sub 3]∕TiO[sub 2] Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
- (2007) Woojin Jeon et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Transparent ZnO-TFT Arrays Fabricated by Atomic Layer Deposition
- (2007) Sang-Hee Ko Park et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Biocompatibility of atomic layer-deposited alumina thin films
- (2007) Dudley S. Finch et al. JOURNAL OF BIOMEDICAL MATERIALS RESEARCH PART A
- Controlling of preferential growth mode of ZnO thin films grown by atomic layer deposition
- (2007) A. Wójcik et al. JOURNAL OF CRYSTAL GROWTH
- Mechanistic details of atomic layer deposition (ALD) processes for metal nitride film growth
- (2007) Hugo Tiznado et al. JOURNAL OF MOLECULAR CATALYSIS A-CHEMICAL
- Selection of post-growth treatment parameters for atomic layer deposition of structurally disordered TiO2 thin films
- (2007) S. Dueñas et al. JOURNAL OF NON-CRYSTALLINE SOLIDS
- Composite nanowires with MgO/ZnO core–sheath structures: Study of thin ZnO shell layers
- (2007) Hyoun Woo Kim et al. JOURNAL OF PHYSICS AND CHEMISTRY OF SOLIDS
- Physical and Electrical Properties of Atomic-Layer-Deposited Hf[sub x]Zr[sub 1−x]O[sub 2] with TEMAHf, TEMAZr, and Ozone
- (2007) D. H. Triyoso et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Silicon Orientation Effects in the Atomic Layer Deposition of Hafnium Oxide
- (2007) L. Nyns et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Photocatalytic Activity of Atomic Layer Deposited TiO[sub 2] Coatings on Austenitic Stainless Steels and Copper Alloys
- (2007) Hiroshi Kawakami et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- A nanoanalytical investigation of elemental distributions in high-k dielectric gate stacks on silicon
- (2007) F.T. Docherty et al. MICROELECTRONIC ENGINEERING
- Top injection reactor tool with in situ spectroscopic ellipsometry for growth and characterization of ALD thin films
- (2007) D. Schmidt et al. MICROELECTRONIC ENGINEERING
- Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
- (2007) Sang-Joon Park et al. MICROELECTRONIC ENGINEERING
- Rayleigh-Instability-Induced Metal Nanoparticle Chains Encapsulated in Nanotubes Produced by Atomic Layer Deposition
- (2007) Yong Qin et al. NANO LETTERS
- Improvement in corrosion resistance of CrN coated stainless steel by conformal TiO2 deposition
- (2007) C.X. Shan et al. SURFACE & COATINGS TECHNOLOGY
- Corrosion resistance of TiO2 films grown on stainless steel by atomic layer deposition
- (2007) C.X. Shan et al. SURFACE & COATINGS TECHNOLOGY
- Molybdenum nitride nanotubes
- (2007) Ville Miikkulainen et al. THIN SOLID FILMS
- Investigation of sub-nm ALD aluminum oxide films by plasma assisted etch-through
- (2007) K. Grigoras et al. THIN SOLID FILMS
- Characterization and gas-sensing behavior of an iron oxide thin film prepared by atomic layer deposition
- (2007) Mikko Aronniemi et al. THIN SOLID FILMS
- Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates
- (2007) Indrek Jõgi et al. THIN SOLID FILMS
- Conformal ZnO coatings on high surface area silica gel using atomic layer deposition
- (2007) J.A. Libera et al. THIN SOLID FILMS
- Tungsten atomic layer deposition on polymers
- (2007) C.A. Wilson et al. THIN SOLID FILMS
- ZnO thin films prepared by atomic layer deposition and rf sputtering as an active layer for thin film transistor
- (2007) S.J. Lim et al. THIN SOLID FILMS
- Protection of polymer from atomic-oxygen erosion using Al2O3 atomic layer deposition coatings
- (2007) Russell Cooper et al. THIN SOLID FILMS
Find Funding. Review Successful Grants.
Explore over 25,000 new funding opportunities and over 6,000,000 successful grants.
ExploreBecome a Peeref-certified reviewer
The Peeref Institute provides free reviewer training that teaches the core competencies of the academic peer review process.
Get Started