Dielectric Barrier, Etch Stop, and Metal Capping Materials for State of the Art and beyond Metal Interconnects
Published 2014 View Full Article
- Home
- Publications
- Publication Search
- Publication Details
Title
Dielectric Barrier, Etch Stop, and Metal Capping Materials for State of the Art and beyond Metal Interconnects
Authors
Keywords
-
Journal
ECS Journal of Solid State Science and Technology
Volume 4, Issue 1, Pages N3029-N3047
Publisher
The Electrochemical Society
Online
2014-10-15
DOI
10.1149/2.0051501jss
References
Ask authors/readers for more resources
Related references
Note: Only part of the references are listed.- Atomic layer deposited lithium aluminum oxide: (In)dependency of film properties from pulsing sequence
- (2015) Ville Miikkulainen et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Valence and conduction band offsets at amorphous hexagonal boron nitride interfaces with silicon network dielectrics
- (2014) Sean W. King et al. APPLIED PHYSICS LETTERS
- 1-nm-thick graphene tri-layer as the ultimate copper diffusion barrier
- (2014) Ba-Son Nguyen et al. APPLIED PHYSICS LETTERS
- Boron nitride nanosheets as oxygen-atom corrosion protective coatings
- (2014) Min Yi et al. APPLIED PHYSICS LETTERS
- Resistivity scaling and electron relaxation times in metallic nanowires
- (2014) Kristof Moors et al. JOURNAL OF APPLIED PHYSICS
- Effect of low-frequency radio frequency on plasma-enhanced chemical vapor deposited ultra low-κ dielectric films for very large-scale integrated interconnects
- (2014) E. Todd Ryan et al. JOURNAL OF APPLIED PHYSICS
- Defect structure and electronic properties of SiOC:H films used for back end of line dielectrics
- (2014) T. A. Pomorski et al. JOURNAL OF APPLIED PHYSICS
- Relationship between the structure and electrical characteristics of diamond-like carbon films
- (2014) Radek Ješko JOURNAL OF APPLIED PHYSICS
- Influence of hydrogen content and network connectivity on the coefficient of thermal expansion and thermal stability for a-SiC:H thin films
- (2014) Sean W. King et al. JOURNAL OF NON-CRYSTALLINE SOLIDS
- Statistical mechanics of glass
- (2014) John C. Mauro et al. JOURNAL OF NON-CRYSTALLINE SOLIDS
- Desorption and sublimation kinetics for fluorinated aluminum nitride surfaces
- (2014) Sean W. King et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Time-dependent dielectric breakdown measurements of porous organosilicate glass using mercury and solid metal probes
- (2014) Dongfei Pei et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Effect of water uptake on the fracture behavior of low-k organosilicate glass
- (2014) Xiangyu Guo et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Effect of NH3/N2 ratio in plasma treatment on porous low dielectric constant SiCOH materials
- (2014) Jun-Fu Huang et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- History of diamond-like carbon films — From first experiments to worldwide applications
- (2014) Klaus Bewilogua et al. SURFACE & COATINGS TECHNOLOGY
- Buckling-delamination and cracking of thin titanium films under compression: Experimental and numerical studies
- (2014) Xiuli Xue et al. SURFACE & COATINGS TECHNOLOGY
- Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects—State of the art
- (2014) Alfred Grill et al. Applied Physics Reviews
- Tunable Plasticity in Amorphous Silicon Carbide Films
- (2013) Yusuke Matsuda et al. ACS Applied Materials & Interfaces
- Graphene as a Long-Term Metal Oxidation Barrier: Worse Than Nothing
- (2013) Maria Schriver et al. ACS Nano
- Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
- (2013) Takane Usui et al. ACTA MATERIALIA
- Progress and prospective of solid-state lithium batteries
- (2013) Kazunori Takada ACTA MATERIALIA
- Evidence of ultra-low-k dielectric material degradation and nanostructure alteration of the Cu/ultra-low-k interconnects in time-dependent dielectric breakdown failure
- (2013) Jeffrey C. K. Lam et al. APPLIED PHYSICS LETTERS
- Study of viscoplastic deformation in porous organosilicate thin films for ultra low-k applications
- (2013) Emil H. Zin et al. APPLIED PHYSICS LETTERS
- Role of graphene defects in corrosion of graphene-coated Cu(111) surface
- (2013) I. Wlasny et al. APPLIED PHYSICS LETTERS
- Moisture-assisted cracking and atomistic crack path meandering in oxidized hydrogenated amorphous silicon carbide films
- (2013) Yusuke Matsuda et al. JOURNAL OF APPLIED PHYSICS
- On the dynamics of Cu ions injection into low-k nanoporous materials under oscillating applied fields
- (2013) Juan Borja et al. JOURNAL OF APPLIED PHYSICS
- Generalized hydrogen release-reaction model for the breakdown of modern gate dielectrics
- (2013) Ernest Y. Wu et al. JOURNAL OF APPLIED PHYSICS
- Defects and electronic transport in hydrogenated amorphous SiC films of interest for low dielectric constant back end of the line dielectric systems
- (2013) T. A. Pomorski et al. JOURNAL OF APPLIED PHYSICS
- Plasma processing of low-k dielectrics
- (2013) Mikhail R. Baklanov et al. JOURNAL OF APPLIED PHYSICS
- Thermal Conductivity Measurement of Low-k Dielectric Films: Effect of Porosity and Density
- (2013) M. T. Alam et al. JOURNAL OF ELECTRONIC MATERIALS
- Characteristics of Plasma-Treated Amorphous Ta-Si-C Film as a Diffusion Barrier for Copper Metallization
- (2013) Jau-Shiung Fang et al. JOURNAL OF ELECTRONIC MATERIALS
- The effect of the pore topology on the elastic modulus of organosilicate glasses
- (2013) Kong-Boon Yeap et al. JOURNAL OF MATERIALS RESEARCH
- Characterization of wafer geometry and overlay error on silicon wafers with nonuniform stress
- (2013) Timothy A. Brunner et al. Journal of Micro-Nanolithography MEMS and MOEMS
- Noncontact optical metrologies for Young’s modulus measurements of nanoporous low-k dielectric thin films
- (2013) Brian C. Daly et al. Journal of Nanophotonics
- Influence of network bond percolation on the thermal, mechanical, electrical and optical properties of high and low-k a-SiC:H thin films
- (2013) Sean W. King et al. JOURNAL OF NON-CRYSTALLINE SOLIDS
- Characterization of very low thermal conductivity thin films
- (2013) M. T. Alam et al. JOURNAL OF THERMAL ANALYSIS AND CALORIMETRY
- Highly reliable enhanced nitride interface process of barrier low-k using ultra-thin SiN with moisture blocking capability
- (2013) Tatsuya Usami et al. MICROELECTRONIC ENGINEERING
- Low-k a-SiCO:H films as diffusion barriers for advanced interconnects
- (2013) Els Van Besien et al. MICROELECTRONIC ENGINEERING
- Effect of moisture on electrical properties and reliability of low dielectric constant materials
- (2013) Yi-Lung Cheng et al. MICROELECTRONIC ENGINEERING
- Material design of plasma-enhanced chemical vapour deposition SiCH films for low-kcap layers in the further scaling of ultra-large-scale integrated devices-Cu interconnects
- (2013) Hideharu Shimizu et al. SCIENCE AND TECHNOLOGY OF ADVANCED MATERIALS
- Toughening Thin-Film Structures with Ceramic-Like Amorphous Silicon Carbide Films
- (2013) Yusuke Matsuda et al. Small
- Impact of plasma treatment on structure and electrical properties of porous low dielectric constant SiCOH material
- (2013) Yi-Lung Cheng et al. THIN SOLID FILMS
- Elastic properties of tensile nitrogen-plasma-treated multilayer silicon nitride films
- (2013) M. Braccini et al. THIN SOLID FILMS
- Study of Conduction Modes of Time to Dielectric Breakdown Reliability in Cu Damascene Structures
- (2013) H. L. Chang et al. ECS Journal of Solid State Science and Technology
- Research Updates: The three M's (materials, metrology, and modeling) together pave the path to future nanoelectronic technologies
- (2013) Sean W. King et al. APL Materials
- Nanoscale Noncontact Subsurface Investigations of Mechanical and Optical Properties of Nanoporous Low-k Material Thin Film
- (2012) Alexey M. Lomonosov et al. ACS Nano
- Environmentally assisted debonding of copper/barrier interfaces
- (2012) Ryan P. Birringer et al. ACTA MATERIALIA
- Invasion percolation model for abnormal time-dependent dielectric breakdown characteristic of low-k dielectrics due to massive metallic diffusion
- (2012) Fen Chen et al. APPLIED PHYSICS LETTERS
- Corrosion of Si-O based porous low-k dielectrics
- (2012) A. B. Hall et al. APPLIED PHYSICS LETTERS
- Size effect on the electron wind force for electromigration at the top metal-dielectric interface in nanoscale interconnects
- (2012) Zhuo-Jie Wu et al. APPLIED PHYSICS LETTERS
- Intrinsic effect of porosity on mechanical and fracture properties of nanoporous ultralow-k dielectrics
- (2012) K. Vanstreels et al. APPLIED PHYSICS LETTERS
- Time-dependent dielectric breakdown of plasma-exposed porous organosilicate glass
- (2012) M. T. Nichols et al. APPLIED PHYSICS LETTERS
- Cu Contamination of the nMOSFET in a 3-D Integrated Circuit under Thermal and Electrical Stress
- (2012) Han-Wool Yeon et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Simulations of Damage, Crack Initiation, and Propagation in Interlayer Dielectric Structures: Understanding Assembly-Induced Fracture in Dies
- (2012) Abhishek Tambat et al. IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY
- Electromigration in Cu(Al) and Cu(Mn) damascene lines
- (2012) C.-K. Hu et al. JOURNAL OF APPLIED PHYSICS
- Conduction and material transport phenomena of degradation in electrically stressed ultra low-k dielectric before breakdown
- (2012) T. Breuer et al. JOURNAL OF APPLIED PHYSICS
- The effects of vacuum ultraviolet radiation on low-kdielectric films
- (2012) H. Sinha et al. JOURNAL OF APPLIED PHYSICS
- A review of monolithic and multilayer coatings within the boron–carbon–nitrogen system by ion-beam-assisted deposition
- (2012) Ignacio Jiménez et al. JOURNAL OF MATERIALS RESEARCH
- Mechanical properties of high porosity low-kdielectric nano-films determined by Brillouin light scattering
- (2012) S Bailey et al. JOURNAL OF PHYSICS D-APPLIED PHYSICS
- Low-k SiCxNyFilms Prepared by Plasma-Enhanced Chemical Vapor Deposition Using 1,3,5-trimethyl-1,3,5-trivinylcyclotrisilazane Precursor
- (2012) Hung-En Tu et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Stability and annealing of alucones and alucone alloys
- (2012) Lilit Ghazaryan et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Time dependent dielectric breakdown physics – Models revisited
- (2012) J.W. McPherson MICROELECTRONICS RELIABILITY
- Nanoscale mapping of contact stiffness and damping by contact resonance atomic force microscopy
- (2012) Gheorghe Stan et al. NANOTECHNOLOGY
- Tailored amorphous silicon carbide barrier dielectrics by nitrogen and oxygen doping
- (2012) Yusuke Matsuda et al. THIN SOLID FILMS
- Dissolution of CF-Polymer Films at Ultra Low-k Surfaces Using Diluted HF
- (2012) R. Leitsmann et al. ECS Journal of Solid State Science and Technology
- Film Property Requirements for Hermetic Low-k a-SiOxCyNz:H Dielectric Barriers
- (2012) S. W. King et al. ECS Journal of Solid State Science and Technology
- Oxidation Resistance of Graphene-Coated Cu and Cu/Ni Alloy
- (2011) Shanshan Chen et al. ACS Nano
- Fracture properties of hydrogenated amorphous silicon carbide thin films
- (2011) Y. Matsuda et al. ACTA MATERIALIA
- Role of copper in time dependent dielectric breakdown of porous organo-silicate glass low-k materials
- (2011) Larry Zhao et al. APPLIED PHYSICS LETTERS
- Inversion type InP metal oxide semiconductor field effect transistor using novel atomic layer deposited BeO gate dielectric
- (2011) J. H. Yum et al. APPLIED PHYSICS LETTERS
- X-ray photoelectron spectroscopy investigation of the Schottky barrier at low-k a-SiO(C):H/Cu interfaces
- (2011) S. W. King et al. APPLIED PHYSICS LETTERS
- Atomic layer deposition of organic–inorganic hybrid materials based on saturated linear carboxylic acids
- (2011) Karina Barnholt Klepper et al. DALTON TRANSACTIONS
- X-ray Photoelectron Spectroscopy Investigation of the Schottky Barrier at a-BN:H∕Cu Interfaces
- (2011) S. W. King et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Fourier Transform Infrared Spectroscopy of Moisturized Low-$\kappa$ Dielectric Materials
- (2011) Christoph Kubasch et al. IEEE TRANSACTIONS ON ELECTRON DEVICES
- Epitaxial ALD BeO: Efficient Oxygen Diffusion Barrier for EOT Scaling and Reliability Improvement
- (2011) Jung Hwan Yum et al. IEEE TRANSACTIONS ON ELECTRON DEVICES
- Isobutyl Silane Precursors for SiCH Low-kCap Layer beyond the 22 nm Node: Analysis of Film Structure for Compatibility of Lowerk-value and High Barrier Properties
- (2011) Hideharu Shimizu et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Novel Precursors for SiCH Low-$k$ Caps beyond the 22 nm Node: Reactions of Silacyclopentane Precursors in the Plasma-Enhanced Chemical Vapor Deposition Process and Structural Analyses of SiCH Films
- (2011) Hideharu Shimizu et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Effects of barrier composition and electroplating chemistry on adhesion and voiding in copper/dielectric diffusion barrier films
- (2011) Ryan P. Birringer et al. JOURNAL OF APPLIED PHYSICS
- Elastic properties of porous low-k dielectric nano-films
- (2011) W. Zhou et al. JOURNAL OF APPLIED PHYSICS
- Atomic layer deposited beryllium oxide: Effective passivation layer for III-V metal/oxide/semiconductor devices
- (2011) J. H. Yum et al. JOURNAL OF APPLIED PHYSICS
- A study of highly crystalline novel beryllium oxide film using atomic layer deposition
- (2011) J.H. Yum et al. JOURNAL OF CRYSTAL GROWTH
- Fourier transform infrared spectroscopy investigation of chemical bonding in low-k a-SiC:H thin films
- (2011) S.W. King et al. JOURNAL OF NON-CRYSTALLINE SOLIDS
- Mass and bond density measurements for PECVD a-SiCx:H thin films using Fourier transform-infrared spectroscopy
- (2011) S.W. King et al. JOURNAL OF NON-CRYSTALLINE SOLIDS
- Modelling the erosion of beryllium carbide surfaces
- (2011) M. Mehine et al. JOURNAL OF NUCLEAR MATERIALS
- The local physical structure of amorphous hydrogenated boron carbide: insights from magic angle spinning solid-state NMR spectroscopy
- (2011) Michelle M Paquette et al. JOURNAL OF PHYSICS-CONDENSED MATTER
- Effects of Post-CMP Cleaning on Time Dependent Dielectric Breakdown and Electro-Migration in Porous Low-k∕Cu Interconnects
- (2011) Chia-Lin Hsu et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Post Plasma Etch Residue Removal in Dilute HF Solutions
- (2011) D. P. R. Thanu et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Mechanisms for sealing of porous low-k SiOCH by combined He and NH3 plasma treatment
- (2011) Juline Shoeb et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Comparison of the self-cleaning effects and electrical characteristics of BeO and Al2O3 deposited as an interface passivation layer on GaAs MOS devices
- (2011) J. H. Yum et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Plasma enhanced atomic layer deposition of SiNx:H and SiO2
- (2011) Sean W. King JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Dielectric performance of hybrid alumina-silicone nanolaminates synthesized by plasma enhanced chemical vapor deposition
- (2011) Rakhi P. Patel et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Moore’s crystal ball: Device physics and technology past the 15nm generation
- (2011) Kelin J. Kuhn MICROELECTRONIC ENGINEERING
- MIM in 3D: Dream or reality? (invited)
- (2011) J.H. Klootwijk et al. MICROELECTRONIC ENGINEERING
- Alkoxysilane layers compatible with Cu deposition: Towards new diffusion barriers?
- (2011) D. Rébiscoul et al. MICROELECTRONIC ENGINEERING
- Silicon precursor development for advanced dielectric barriers for VLSI technology
- (2011) Anupama Mallikarjunan et al. MICROELECTRONIC ENGINEERING
- Bias polarity and frequency effects of Cu-induced dielectric breakdown in damascene Cu interconnects
- (2011) Sung-Yup Jung et al. MICROELECTRONIC ENGINEERING
- Synergistic combinations of dielectrics and metallization process technology to achieve 22nm interconnect performance targets
- (2011) G.A. Antonelli et al. MICROELECTRONIC ENGINEERING
- Effective Cu surface pre-treatment for high-reliable 22nm-node Cu dual damascene interconnects with high plasma resistant ultra low-k dielectric (k=2.2)
- (2011) F. Ito et al. MICROELECTRONIC ENGINEERING
- Development of low-k precursors for next generation IC manufacturing
- (2011) François Doniat et al. MICROELECTRONIC ENGINEERING
- Competition between the buckling-driven delamination and wrinkling in compressed thin coatings
- (2011) S. Tarasovs et al. MICROELECTRONICS RELIABILITY
- Morphology and thermal stability of AlF3 thin films grown on Cu(100)
- (2011) G. Ruano et al. SURFACE SCIENCE
- Thermal conductivity and sound velocity measurements of plasma enhanced chemical vapor deposited a-SiC:H thin films
- (2011) D.B. Hondongwa et al. THIN SOLID FILMS
- Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates
- (2011) J.H. Yum et al. THIN SOLID FILMS
- Cu penetration into low-k dielectric during deposition and bias-temperature stress
- (2010) Ming He et al. APPLIED PHYSICS LETTERS
- Observation of space charge limited current by Cu ion drift in porous low-k/Cu interconnects
- (2010) L. S. Chen et al. APPLIED PHYSICS LETTERS
- Ultraviolet radiation effects on paramagnetic defects in low-κ dielectrics for ultralarge scale integrated circuit interconnects
- (2010) B. C. Bittel et al. APPLIED PHYSICS LETTERS
- Deposition of thin films of organic–inorganic hybrid materials based on aromatic carboxylic acids by atomic layer deposition
- (2010) Karina Barnholt Klepper et al. DALTON TRANSACTIONS
- Investigation of Moisture Uptake in Low-$\kappa$ Dielectric Materials
- (2010) Christoph Kubasch et al. IEEE TRANSACTIONS ON ELECTRON DEVICES
- Novel Precursor for Development of Si–C2H4–Si Networks in SiCH for Application as a Low-kCap Layer beyond 22 nm Nodes
- (2010) Hideharu Shimizu et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Crosslinking of porous SiOCH films involving Si–O–C bonds: Impact of deposition and curing
- (2010) O. Gourhant et al. JOURNAL OF APPLIED PHYSICS
- Metal–dielectric interface toughening by molecular nanolayer decomposition
- (2010) Saurabh Garg et al. JOURNAL OF APPLIED PHYSICS
- Stress gradients observed in Cu thin films induced by capping layers
- (2010) Conal E. Murray et al. JOURNAL OF MATERIALS RESEARCH
- A comparative study of a new microscale technique and conventional bending techniques for evaluating the interface adhesion strength in IC metallization systems
- (2010) Shoji Kamiya et al. JOURNAL OF MATERIALS RESEARCH
- Effects of He Plasma Pretreatment on Low-k Damage during Cu Surface Cleaning with NH[sub 3] Plasma
- (2010) A. M. Urbanowicz et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Thermal Stress Control in Cu Dual Damascene Interconnects with Low-k Organic Polymer Film
- (2010) Masayoshi Tagami et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Atomic Layer Deposition and Characterization of Aluminum Silicate Thin Films for Optical Applications
- (2010) Jani Hämäläinen et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Processing and moisture effects on TDDB for Cu/ULK BEOL structures
- (2010) E.G. Liniger et al. MICROELECTRONIC ENGINEERING
- Study of metal adhesion on porous low-k dielectric using telephone cord buckling
- (2010) M. He et al. MICROELECTRONICS RELIABILITY
- Micron-sized fracture experiments on amorphous SiOx films and SiOx/SiNx multi-layers
- (2010) Kurt Matoy et al. THIN SOLID FILMS
- Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation
- (2010) Premysl Marsik et al. THIN SOLID FILMS
- Intrinsic stress effect on fracture toughness of plasma enhanced chemical vapor deposited SiNx:H films
- (2010) Sean King et al. THIN SOLID FILMS
- Thermomechanical properties of aluminum alkoxide (alucone) films created using molecular layer deposition
- (2009) David C. Miller et al. ACTA MATERIALIA
- Depth-profiling of elastic inhomogeneities in transparent nanoporous low-k materials by picosecond ultrasonic interferometry
- (2009) C. Mechri et al. APPLIED PHYSICS LETTERS
- Low Dielectric Constant Materials
- (2009) Willi Volksen et al. CHEMICAL REVIEWS
- Challenges for Rechargeable Li Batteries†
- (2009) John B. Goodenough et al. CHEMISTRY OF MATERIALS
- Performance Modeling of Low-$k$/Cu Interconnects for 32-nm-Node and Beyond
- (2009) Munehiro Tada et al. IEEE TRANSACTIONS ON ELECTRON DEVICES
- A model for electric degradation of interconnect low-k dielectrics in microelectronic integrated circuits
- (2009) Gaddi S. Haase JOURNAL OF APPLIED PHYSICS
- Elastic modulus of low- k dielectric thin films measured by load-dependent contact-resonance atomic force microscopy
- (2009) Gheorghe Stan et al. JOURNAL OF MATERIALS RESEARCH
- The effect of porogen loading on the stiffness and fracture energy of brittle organosilicates
- (2009) Han Li et al. JOURNAL OF MATERIALS RESEARCH
- Stress-corrosion mechanisms in silicate glasses
- (2009) Matteo Ciccotti JOURNAL OF PHYSICS D-APPLIED PHYSICS
- Silane Decomposition on Cu Interconnects Inhibited by Ammonia
- (2009) E. Todd Ryan et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- The evolution of optical and electrical properties of low-k dielectrics under bias stress
- (2009) J.M. Atkin et al. MICROELECTRONIC ENGINEERING
- Mapping of elastic modulus at sub-micrometer scale with acoustic contact resonance AFM
- (2009) F. Mège et al. MICROELECTRONIC ENGINEERING
- Intrinsic stress fracture energy measurements for PECVD thin films in the SiOxCyNz:H system
- (2009) S.W. King et al. MICROELECTRONICS RELIABILITY
- Oxidation resistance of thin boron carbo-nitride films on Ge(100) and Ge nanowires
- (2009) P.R. Fitzpatrick et al. THIN SOLID FILMS
- Molecular Layer Deposition of Alucone Polymer Films Using Trimethylaluminum and Ethylene Glycol
- (2008) A. A. Dameron et al. CHEMISTRY OF MATERIALS
- Application of Deuterium Exchange to Analyze Moisture Uptake Characteristics of Porous Low-Dielectric-Constant SiOCH Films
- (2008) Ekaterina Vinogradova et al. ELECTROCHEMICAL AND SOLID STATE LETTERS
- Modeling the stress enhancement of plasma enhanced chemical vapor deposited silicon nitride films by UV post treatment – impact of the film density
- (2008) P. Morin et al. EUROPEAN PHYSICAL JOURNAL-APPLIED PHYSICS
- Resist Poisoning Studies of Gap Fill Materials for Patterning Metal Trenches in Via-First Dual Damascene Process
- (2008) Satoshi Takei JAPANESE JOURNAL OF APPLIED PHYSICS
- Alternative Photoresist Removal Process to Minimize Damage of Low-kMaterial Induced by Ash Plasma
- (2008) Quoc Toan Le et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Developments of Plasma Etching Technology for Fabricating Semiconductor Devices
- (2008) Haruhiko Abe et al. JAPANESE JOURNAL OF APPLIED PHYSICS
- Influence of absorbed water components on SiOCH low-k reliability
- (2008) Yunlong Li et al. JOURNAL OF APPLIED PHYSICS
- Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage
- (2008) E. Todd Ryan et al. JOURNAL OF APPLIED PHYSICS
- Copper ion drift in integrated circuits: Effect of boundary conditions on reliability and breakdown of low-k dielectrics
- (2008) Ravi S. Achanta et al. JOURNAL OF APPLIED PHYSICS
- Effects of UV cure on glass structure and fracture properties of nanoporous carbon-doped oxide thin films
- (2008) David M. Gage et al. JOURNAL OF APPLIED PHYSICS
- Effect of UV anneal on plasma CVD low-k film
- (2008) Yoshimi Shioya et al. JOURNAL OF NON-CRYSTALLINE SOLIDS
- Ab initiocalculation of lattice dynamics in BeO
- (2008) Munima B Sahariah et al. JOURNAL OF PHYSICS-CONDENSED MATTER
- Optical Property Changes in Low-k Films upon Ultraviolet-Assisted Curing
- (2008) Salvador Eslava et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Ultraviolet-Assisted Curing of Organosilicate Glass Low-k Dielectric by Excimer Lamps
- (2008) Salvador Eslava et al. JOURNAL OF THE ELECTROCHEMICAL SOCIETY
- Methods of producing plasma enhanced chemical vapor deposition silicon nitride thin films with high compressive and tensile stress
- (2008) M. Belyansky et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
- Mechanistic study of plasma damage of low k dielectric surfaces
- (2008) J. Bao et al. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
- A comparative study on the measurement of toughness of stacks containing low-k dielectric films
- (2008) Z.W. Zheng et al. MICROELECTRONIC ENGINEERING
- Screening self-assembled monolayers as Cu diffusion barriers
- (2008) A. Maestre Caro et al. MICROELECTRONIC ENGINEERING
- Effect of plasma treatments on interface adhesion between SiOCH ultra-low-k film and SiCN etch stop layer
- (2008) Hung-Chun Tsai et al. MICROELECTRONIC ENGINEERING
- Thermal conductivity measurements of low-k films using thermoreflectance phenomenon
- (2008) M. Kuwahara et al. MICROELECTRONIC ENGINEERING
- Overview of dual damascene integration schemes in Cu BEOL integration
- (2008) J. Kriz et al. MICROELECTRONIC ENGINEERING
- A copper-dielectric cap interface with high resistance to electromigration for high performance semiconductor devices
- (2008) J. Hohage et al. MICROELECTRONIC ENGINEERING
- Thermal conductivity of low-k films of varying porosity and direct measurements on silicon substrate
- (2008) M. Kuwahara et al. MICROELECTRONIC ENGINEERING
- Cu/low-k dielectric TDDB reliability issues for advanced CMOS technologies
- (2008) F. Chen et al. MICROELECTRONICS RELIABILITY
- Impermeable Atomic Membranes from Graphene Sheets
- (2008) J. Scott Bunch et al. NANO LETTERS
- Analysis of thermal parameters and factors acting on thermal conduction of low-k films
- (2008) Shigeo Sato et al. SURFACE AND INTERFACE ANALYSIS
- Celebrating the 100th anniversary of the Stoney equation for film stress: Developments from polycrystalline steel strips to single crystal silicon wafers
- (2008) G.C.A.M. Janssen et al. THIN SOLID FILMS
- Effect of plasma treatments on interface chemistry and adhesion strength between porous SiO2 low-k film and SiC/SiN layers
- (2007) Shou-Yi Chang et al. MICROELECTRONIC ENGINEERING
- Copper ion diffusion in porous and nonporous SiO2-based dielectrics using bias thermal stress and thermal stress tests
- (2007) I. Fisher et al. THIN SOLID FILMS
Add your recorded webinar
Do you already have a recorded webinar? Grow your audience and get more views by easily listing your recording on Peeref.
Upload NowBecome a Peeref-certified reviewer
The Peeref Institute provides free reviewer training that teaches the core competencies of the academic peer review process.
Get Started