4.5 Article

Three-Dimensional NAND Flash for Vector-Matrix Multiplication

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TVLSI.2018.2882194

Keywords

3-D NAND flash; neural network; vector-matrix multiplication (VMM); weighted sum

Ask authors/readers for more resources

Three-Dimensional NAND flash technology is one of the most competitive integrated solutions for the high-volume massive data storage. So far, there are few investigations on how to use 3-D NAND flash for in-memory computing in the neural network accelerator. In this brief, we propose using the 3-D vertical channel NAND array architecture to implement the vector-matrix multiplication (VMM) with for the first time. Based on the array-level SPICE simulation, the bias condition including the selector layer and the unselected layers is optimized to achieve high computation accuracy of VMM. Since the VMM can be performed layer by layer in a 3-D NAND array, the read-out latency is largely improved compared to the conventional single-cell read-out operation. The impact of device-to-device variation on the computation accuracy is also analyzed.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Article Engineering, Electrical & Electronic

A Unified PUF and TRNG Design Based on 40-nm RRAM With High Entropy and Robustness for IoT Security

Bin Gao, Bohan Lin, Xueqi Li, Jianshi Tang, He Qian, Huaqiang Wu

Summary: This article demonstrates a highly robust unified PUF/TRNG design, which is tested for stability and randomness under different temperature and supply voltage conditions. This design shows promising prospects for future IoT security applications.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Chemistry, Multidisciplinary

Memristive Behaviors Dominated by Reversible Nucleation Dynamics of Phase-Change Nanoclusters

Qin Wan, Fei Zeng, Yiming Sun, Tongjin Chen, Junwei Yu, Huaqiang Wu, Zhen Zhao, Jiangli Cao, Feng Pan

Summary: This study demonstrates a memristive system with adaptive nucleation of phase-change nanoclusters, which responds to stimulation strength and exhibits action potential firing. The reversible nucleation of phase-change nanoclusters is confirmed by high-resolution transmission electron microscopy. This compact memristive system plays an important role in neuromorphic computing.

SMALL (2022)

Article Computer Science, Hardware & Architecture

Analog-to-Digital Converter Design Exploration for Compute-in-Memory Accelerators

Hongwu Jiang, Wantong Li, Shanshi Huang, Stefan Cosemans, Francky Catthoor, Shimeng Yu

Summary: This article comprehensively investigates ADC design for compute-in-memory array and shows that 6-bit precision is sufficient to guarantee accuracy for large arrays, achieving the best tradeoff between hardware performance and area overhead compared to prior designs.

IEEE DESIGN & TEST (2022)

Article Nanoscience & Nanotechnology

Local Epitaxial Templating Effects in Ferroelectric and Antiferroelectric ZrO2

Kisung Chae, Sarah F. Lombardo, Nujhat Tasneem, Mengkun Tian, Harish Kumarasubramanian, Jae Hur, Winston Chern, Shimeng Yu, Claudia Richter, Patrick D. Lomenzo, Michael Hoffmann, Uwe Schroeder, Dina Triyoso, Steven Consiglio, Kanda Tapily, Robert Clark, Gert Leusink, Nazanin Bassiri-Gharb, Prab Bandaru, Jayakanth Ravichandran, Andrew Kummel, Kyeongjae Cho, Josh Kacher, Asif Islam Khan

Summary: Investigating nanoscale polycrystalline thin-film heterostructures is crucial for understanding the crystalline orientation and functional response in microelectronics. However, characterizing microstructural correlations at a statistically meaningful scale has been challenging. In this study, a high-throughput method based on nanobeam electron diffraction technique was introduced to investigate the orientational relations and correlations between crystallinity of materials in polycrystalline heterostructures over a length scale of microns.

ACS APPLIED MATERIALS & INTERFACES (2022)

Article Chemistry, Multidisciplinary

A Memristors-Based Dendritic Neuron for High-Efficiency Spatial-Temporal Information Processing

Xinyi Li, Yanan Zhong, Hang Chen, Jianshi Tang, Xiaojian Zheng, Wen Sun, Yang Li, Dong Wu, Bin Gao, Xiaolin Hu, He Qian, Huaqiang Wu

Summary: This study utilizes transition metal oxide-based memristors as artificial dendrites and spike-firing soma to construct dendritic neuron units, achieving high-efficiency spatial-temporal information processing. A hardware-implemented dendritic neural network improves accuracy for human motion recognition and exhibits a 1000x advantage in power efficiency compared to a graphics processing unit.

ADVANCED MATERIALS (2023)

Article Chemistry, Multidisciplinary

Three-Dimensional Reconstruction of Conductive Filaments in HfOx-Based Memristor

Tiantian Wei, Yuyao Lu, Fan Zhang, Jianshi Tang, Bin Gao, Pu Yu, He Qian, Huaqiang Wu

Summary: In this study, the conductive filaments (CFs) with different morphologies after forming, set, and reset operations in HfOx-based memristor devices are clearly revealed for the first time through 3D reconstruction of conductive atomic force microscopy (c-AFM) images. Multiple CFs are successfully observed in devices with three different resistive states, exhibiting hourglass, inverted-cone, and short-cone morphologies. The rupture location of CFs after the reset operation is also clearly observed. These findings provide insights into the resistive switching mechanism and can contribute to the design and optimization of oxide-based memristors for memory and computing applications.

ADVANCED MATERIALS (2023)

Article Engineering, Electrical & Electronic

ENNA: An Efficient Neural Network Accelerator Design Based on ADC-Free Compute-In-Memory Subarrays

Hongwu Jiang, Shanshi Huang, Wantong Li, Shimeng Yu

Summary: Compute-in-memory (CIM) is a promising hardware acceleration solution for machine learning that integrates computation directly into memory, but the challenge of analog-to-digital converters (ADCs) in CIM designs has been a major concern. This study proposes a novel CIM architecture called ENNA, which uses an ADC-free subarray design and a pulse-width modulation (PWM) input encoding scheme for improved performance. Evaluation results demonstrate high energy efficiency and throughput on various DNN models, and a heterogeneous 3D integration scheme further enhances performance and reduces area overhead.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2023)

Article Engineering, Electrical & Electronic

Methods to Realize Low-BER and High-Reliability RRAM Chip With Fast Page-Forming Capability

Xueqi Li, Liyang Pan, Junyi Wang, Bin Gao, Jianshi Tang, He Qian, Huaqiang Wu

Summary: This article proposes a novel dual-step page forming method that can realize low-current forming and improve a bit error rate (BER). Based on this technique, a no-verify page-forming scheme is proposed and can achieve a fast-forming speed of 7.56 Mb/s.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2023)

Article Engineering, Electrical & Electronic

Urban Fiber Based Laser Interferometry for Traffic Monitoring and Analysis

Guan Wang, Zhongwang Pang, Fangmin Wang, Yufeng Chen, Hongfei Dai, Bo Wang

Summary: Accurate road condition monitoring is crucial for alleviating urban traffic congestion and improving the urban environment. This paper proposes a fiber-based traffic monitoring method that can capture traffic flow of both heavy and light vehicles, serving as an efficient complement to navigation services. The method is validated by comparing the detected light vehicle flow with traffic data from a mobile navigation company, showing a correlation coefficient of 0.96. The study also reveals the practicality of fiber sensing in traffic monitoring, road health measurement, and its potential in smart city development.

JOURNAL OF LIGHTWAVE TECHNOLOGY (2023)

Article Engineering, Electrical & Electronic

Nitrogen-Oxyanion-Doped HfO2 Resistive Random-Access Memory With Chemically Enhanced Forming

Ruofei Hu, Jianshi Tang, Yue Xi, Zhixing Jiang, Yuyao Lu, Bin Gao, He Qian, Huaqiang Wu

Summary: A nitrogen-oxyanion-doped hafnium oxide RRAM with improved forming voltage, on/off ratio, and endurance is demonstrated. The critical electric field of N-doped RRAM for forming is 40% lower than that of undoped RRAM. The N-doped RRAM achieves 3x improvement in on/off ratio and 10x improvement in endurance at the forming voltage of 2 V, which is suitable for integration with advanced silicon technology nodes.

IEEE ELECTRON DEVICE LETTERS (2023)

Article Engineering, Electrical & Electronic

BETTER: Bayesian-Based Training and Lightweight Transfer Architecture for Reliable and High-Speed Memristor Neural Network Deployment

Yudeng Lin, Jianshi Tang, Bin Gao, Qingtian Zhang, He Qian, Huaqiang Wu

Summary: Deep learning models implemented using memristors offer high scalability and energy efficiency for resource-constrained edge computing applications. However, the inherent physical randomness of memristors leads to significant performance degradation. In this study, a unified architecture incorporating a Bayesian-based training method and lightweight transfer scheme is proposed to address the robustness, energy, and time consumption issues caused by memristor variations. Experimental results demonstrate that this architecture can double the speed and energy efficiency of deploying deep learning models.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2023)

Article Engineering, Electrical & Electronic

A High-Speed and High-Efficiency Diverse Error Margin Write-Verify Scheme for an RRAM-Based Neuromorphic Hardware Accelerator

Yudeng Lin, Jianshi Tang, Bin Gao, Qi Qin, Qingtian Zhang, He Qian, Huaqiang Wu

Summary: Resistive random access memory (RRAM)-based neuromorphic hardware accelerators are attractive for neural network acceleration due to their high energy efficiency. However, the variations of RRAM can cause significant conductance deviation and performance degradation. A novel write-verify scheme is proposed to transfer weights with different acceptable error margins, achieving a high-speed and high-efficiency write-verify process.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2023)

Article Engineering, Electrical & Electronic

Time Reversal Enabled Fiber-Optic Time Synchronization

Yufeng Chen, Hongfei Dai, Wenlin Li, Fangmin Wang, Bo Wang, Lijun Wang

Summary: Fiber-optic time synchronization (FOTS) has been crucial for the efficient operation of modern society. This article proposes a time reversal-enabled FOTS method that allows measuring clock difference between two sites without calculating fiber link delay. It eliminates the need for data layer exchange and enables multiple-access time synchronization. The method achieves a time deviation of 25 ps at 1 s and 2 ps at 1000 s on a 230-km fiber link, demonstrating its effectiveness.

IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT (2023)

Proceedings Paper Computer Science, Hardware & Architecture

Performance Benchmarking of Spin-Orbit Torque Magnetic RAM (SOT-MRAM) for Deep Neural Network (DNN) Accelerators

Yandong Luo, Piyush Kumar, Yu-Ching Liao, William Hwang, Fen Xue, Wilman Tsai, Shan X. Wang, Azad Naeemi, Shimeng Yu

Summary: In this paper, a system level evaluation is performed for DNN inference engines using SOT-MRAM, including compute-in-memory (CIM) paradigm and near-memory systolic array. The results show that SOT-MRAM can achieve 51% to 93% higher energy efficiency than SRAM for read-intensive CIM tasks at different nodes, and 17% higher energy efficiency for write-intensive systolic array tasks at 7nm node, when compared to SRAM global buffer.

2022 14TH IEEE INTERNATIONAL MEMORY WORKSHOP (IMW 2022) (2022)

Proceedings Paper Computer Science, Hardware & Architecture

In-Memory 3D NAND Flash Hyperdimensional Computing Engine for Energy-Efficient SARS-CoV-2 Genome Sequencing

Po-Kai Hsu, Shimeng Yu

Summary: This paper explores the feasibility of in-memory hyperdimensional computing on 3D NAND Flash for genome sequencing, with a focus on SARS-CoV-2 genome sequences. The results indicate that despite the non-idealities of 3D NAND Flash, the classification accuracy is robust, and the system performance achieves improvement in energy efficiency and area efficiency compared to PCM-based HDC engines.

2022 14TH IEEE INTERNATIONAL MEMORY WORKSHOP (IMW 2022) (2022)

No Data Available