4.6 Article

Extraordinary low transmission effects for ultra-thin patterned metal films

Journal

OPTICS EXPRESS
Volume 17, Issue 2, Pages 544-551

Publisher

OPTICAL SOC AMER
DOI: 10.1364/OE.17.000544

Keywords

-

Categories

Funding

  1. Erlangen Graduate School in Advanced Optical Technologies (SAOT)
  2. German Research Foundation (DFG)

Ask authors/readers for more resources

Thin metal films show a residual transmission for light in the visible and UV spectral range. This transmission can be strongly reduced by an appropriate sub-wavelength patterning of the metal film. Our investigation is focused on metal films with a thickness much below 100nm, where the transmission response is dominated by the individual posts acting like antennas and cannot be attributed to the excitation of surface plasmons. The almost complete suppression of transmission for ultra-thin metal films depends mainly on the absorber width, but not on the pitch of the pattern. The effect is robust with respect to imperfections of the geometry or larger features imprinted into the sub-wavelength pattern. (C) 2008 Optical Society of America

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Article Engineering, Electrical & Electronic

Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?

Andreas Erdmann, Peter Evanschitzky, Hazem Mesilhy, Vicky Philipsen, Eric Hendrickx, Markus Bauer

JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS (2019)

Article Engineering, Electrical & Electronic

Perspectives and tradeoffs of absorber materials for high NA EUV lithography

Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Vicky Philipsen, Frank Timmermans, Markus Bauer

JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS (2020)

Proceedings Paper Optics

Simulation of polychromatic effects in high NA EUV lithography

Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Qais Saadeh, Victor Soltwisch, Simon Bihr, Joerg Zimmermann, Vicky Philipsen

Summary: State-of-the-art EUV exposure systems utilize EUV radiation with a wavelength around 13.52 nm, affecting image blur and non-telecentricity due to variations in wavelength within the range of 13.2 nm to 13.8 nm. Material dispersion on EUV mirrors and 3D masks introduces additional sensitivity to exposure wavelength, quantified using simulation models and optical material data.

INTERNATIONAL CONFERENCE ON EXTREME ULTRAVIOLET LITHOGRAPHY 2021 (2021)

Proceedings Paper Engineering, Electrical & Electronic

Modeling of grayscale lithography and calibration with experimental data for blazed gratings

Pankaj Bhardwaj, Andreas Erdmann, Robert Leitel

Summary: This paper discusses a collaborative effort between two Fraunhofer institutes to develop a lithography model for simulating the fabrication of blazed gratings using grayscale lithography. The model is calibrated with experimental data, and an inbuilt optimizer is used to identify the most appropriate model parameters.

COMPUTATIONAL OPTICS 2021 (2021)

Proceedings Paper Engineering, Electrical & Electronic

Simulation study on EUV Multilayer Polarization Effects

L. Bilalaj, H. Mesilhy, A. Erdmann

Summary: Polarization was observed to have an impact on high NA lithography in extreme ultraviolet imaging simulations. The study found that polarized illumination can improve local contrast in images. Through a multiobjective optimization algorithm, the most suitable multilayer configurations were identified to maximize reflectivity of TE polarized light and fraction of polarization.

COMPUTATIONAL OPTICS 2021 (2021)

Article Engineering, Electrical & Electronic

Accurate prediction of EUV lithographic images and 3D mask effects using generative networks

Abdalaziz Awad, Philipp Brendel, Peter Evanschitzky, Dereje S. Woldeamanual, Andreas Rosskopf, Andreas Erdmann

Summary: The study aims to accurately model EUV lithographic imaging using deep learning, taking into account 3D mask effects and EUV process variations to surpass the computational bottleneck posed by EMF simulations.

JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3 (2021)

Article Engineering, Electrical & Electronic

Mask defect detection with hybrid deep learning network

Peter Evanschitzky, Nicole Auth, Tilmann Heil, Christian Felix Hermanns, Andreas Erdmann

Summary: This study demonstrates the successful application of deep learning-based methods in mask repair, utilizing a hybrid and modular approach combining multiple deep learning networks and analytical methods. The system showed excellent functionality and defect detection accuracy in real SEM images.

JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3 (2021)

Article Engineering, Electrical & Electronic

Investigation of waveguide modes in EUV mask absorbers

Hazem Mesilhy, Peter Evanschitzky, Gerardo Bottiglieri, Claire van Lare, Eelco van Setten, Andreas Erdmann

Summary: By viewing EUV mask absorber openings as waveguides, this perspective can explain imaging phenomena that cannot be explained by traditional methods. Emphasizing the importance of low refractive index and high extinction materials in EUV lithography, this perspective challenges our traditional understanding of how attenuated phase shift masks behave in EUV.

JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3 (2021)

Article Engineering, Electrical & Electronic

Study of novel EUVL mask absorber candidates

Meiyi Wu, Devesh Thakare, Jean-Francois De Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulie, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen

Summary: Novel mask absorber designs, including materials such as TaTeN, Ru-Ta, and Pt-Mo alloys, are being explored in the EUVL community for their ability to mitigate mask 3D effects. The choice of materials is based on theoretical performance evaluated through EUV imaging simulation. The materials are tested for their absorption, refraction, and stability in potential EUVL mask applications.

JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3 (2021)

Review Engineering, Electrical & Electronic

Stochastic simulation and calibration of organometallic photoresists for extreme ultraviolet lithography

Zelalem Belete, Peter De Bisschop, Ulrich Welling, Andreas Erdmann

Summary: Organometallic photoresists are being explored as an alternative material to advance extreme ultraviolet lithography to the next level. Research indicates that the interaction between photoresists and developers significantly impacts the results.

JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3 (2021)

Review Engineering, Electrical & Electronic

Modeling the impact of shrinkage effects on photoresist development

Sean D'Silva, Thomas Muelders, Hans-Juergen Stock, Andreas Erdmann

Summary: The study explores the impact of PEB shrinkage on resist development rate and final feature dimensions. Strain concentration in the resist bulk affects stability and development rate, influencing resist feature shape and contours.

JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3 (2021)

Proceedings Paper Instruments & Instrumentation

Mask absorber for next generation EUV lithography

Meiyi Wu, Devesh Thakare, Jean-Francois De Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulie, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen

EXTREME ULTRAVIOLET LITHOGRAPHY 2020 (2020)

Proceedings Paper Optics

Pathfinding the perfect EUV mask: The role of the multilayer

H. Mesilhy, P. Evanschitzky, G. Bottiglieri, E. van Setten, T. Fliervoet, A. Erdmann

EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY XI (2020)

Proceedings Paper Optics

Mask absorber development to enable next-generation EUVL

Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Laurent Souriau, Jens Rip, Christophe Detavernier, Andreas Erdmann, Peter Evanschitzky, Christian Laubis, Philipp Hoenicke, Victor Soltwisch, Eric Hendrickx

XXVI SYMPOSIUM ON PHOTOMASK AND NEXT-GENERATION LITHOGRAPHY MASK TECHNOLOGY (PHOTOMASK JAPAN 2019) (2019)

Proceedings Paper Optics

3D Mask Effects in High NA EUV Imaging

Andreas Erdmann, Peter Evanschitzky, Gerardo Bottiglieri, Eelco van Setten, Timon Fliervoet

EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY X (2019)

No Data Available