4.5 Article

Defect-Tolerant Design and Optimization of a Digital Microfluidic Biochip for Protein Crystallization

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TCAD.2010.2042888

Keywords

Digital microfluidics; droplet routing; lab-on-chip; pin-constrained biochip design; route scheduling; well-plate chip

Ask authors/readers for more resources

Protein crystallization is a commonly used technique for protein analysis and subsequent drug design. It predicts the 3-D arrangement of the constituent amino acids, which in turn indicates the specific biological function of a protein. Protein crystallization experiments are typically carried out in well-plates in the laboratory. As a result, these experiments are slow, expensive, and error-prone due to the need for repeated human intervention. Recently, droplet-based digitalmicrofluidics have been used for executing protein assays on a chip. Protein samples in the form of nanoliter-volume droplets are manipulated using the principle of electrowetting-on-dielectric. We present the design of a multi-well-plate microfluidic biochip for protein crystallization; this biochip can transfer protein samples, prepare candidate solutions, and carry out crystallization automatically. To reduce the manufacturing cost of such devices, we present an efficient algorithm to generate a pin-assignment plan for the proposed design. The resulting biochip enables control of a large number of on-chip electrodes using only a small number of pins. Based on the pin-constrained chip design, we present an efficient shuttle-passenger-like droplet manipulation method and test procedure to achieve high-throughput and defect-tolerant well loading.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Article Computer Science, Hardware & Architecture

High-Throughput Training of Deep CNNs on ReRAM-Based Heterogeneous Architectures via Optimized Normalization Layers

Biresh Kumar Joardar, Aryan Deshwal, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty

Summary: Resistive random-access memory (ReRAM)-based architectures can accelerate convolutional neural network (CNN) training, but existing architectures have limited support for normalization operations. This research proposes DeepTrain, a heterogeneous architecture enabled by Bayesian optimization, which provides the necessary hardware and software support for normalization operations and determines the minimum number of normalization operations required for each CNN. Experimental results show that the BO-enabled DeepTrain architecture achieves up to 15x speedup compared to traditional GPU training of CNNs without sacrificing accuracy.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Article Computer Science, Hardware & Architecture

Mixing Models as Integer Factorization: A Key to Sample Preparation With Microfluidic Biochips

Debraj Kundu, Sudip Roy, Sukanta Bhattacharjee, Sohini Saha, Krishnendu Chakrabarty, Partha Pratim Chakrabarti, Bhargab B. Bhattacharya

Summary: Microfluidic biochips have shown great potential and versatility in automating biochemical protocols. Sample preparation, an essential part of these protocols, involves mixing fluids at a small scale. This article explores the impact of different mixing models on the dynamics of mixing steps and proposes factorization-based and volume-oriented dilution algorithms that outperform existing algorithms in terms of reactant cost, mixing time, and waste production for micro-electrode-dot-array biochips.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Article Computer Science, Hardware & Architecture

Hardware-Supported Patching of Security Bugs in Hardware IP Blocks

Wei-Kai Liu, Benjamin Tan, Jason M. Fung, Ramesh Karri, Krishnendu Chakrabarty

Summary: To meet design requirements and application needs, designers integrate multiple IPs to produce a SoC. For improved survivability, patching the SoC is necessary to mitigate potential security issues. We propose adding programmable hardware-based support for monitoring and bug mitigation. Our approach guides designers to maximize the benefits of adding patchability to various IPs in the system, given a target resource overhead. Experimental results show superior patchability compared to other approaches, with a viable patching infrastructure generated within a specified cost limit.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

Unsupervised Two-Stage Root-Cause Analysis With Transfer Learning for Integrated Systems

Renjian Pan, Xin Li, Krishnendu Chakrabarty

Summary: To address the challenge of root-cause analysis in complex integrated systems, a multialgorithm two-stage clustering method with transfer learning is proposed in this article. The method utilizes machine learning techniques and does not rely on root-cause labels obtained from human experts. It demonstrates superior performance in two case studies based on network products, outperforming other state-of-the-art methods.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

Built-In Self-Test of High-Density and Realistic ILV Layouts in Monolithic 3-D ICs

Arjun Chaudhuri, Sanmitra Banerjee, Jinwoo Kim, Sung Kyu Lim, Krishnendu Chakrabarty

Summary: The testing and diagnosis of interlayer vias (ILVs) in monolithic 3-D (M3D) ICs are crucial for increasing yield and improving product quality. A new BIST framework is proposed to address the challenges of testing and localizing faults in realistic ILV layouts, optimizing for test time and performance overhead. Evaluation results show the effectiveness of the proposed framework in M3D benchmarks.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

Learning Malicious Circuits in FPGA Bitstreams

Rana Elnaggar, Jayeeta Chaudhuri, Ramesh Karri, Krishnendu Chakrabarty

Summary: Computing platforms are integrating field-programmable gate arrays (FPGAs) to support domain-specific customization, but attackers can abuse this capability by programming the FPGAs with malicious functions. This paper proposes a defense based on machine learning algorithms to detect bitstreams of malicious circuits and malicious circuits mixed with legitimate circuits by analyzing static features extracted from FPGA bitstreams. The results show that this approach can identify malicious circuits with a false-positive rate of only 4% and a true-positive rate of 100% without the need for reverse engineering.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

Deep Reinforcement Learning-Based Approach for Efficient and Reliable Droplet Routing on MEDA Biochips

Mahmoud Elfar, Yi-Chen Chang, Harrison Hao-Yu Ku, Tung-Che Liang, Krishnendu Chakrabarty, Miroslav Pajic

Summary: The study introduces a deep reinforcement learning (DRL)-based approach to bypass degraded electrodes and enhance the reliability of routing. Simulation results show that the proposed approach provides effective routing strategies for COVID-19 testing protocols. Experimental results validate the feasibility of the DRL-based approach and demonstrate its superiority in terms of reduced clock cycles and shorter execution time compared to baseline methods.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

Machine Learning-Based Rowhammer Mitigation

Biresh Kumar Joardar, Tyler K. Bletsch, Krishnendu Chakrabarty

Summary: Rowhammer is a security vulnerability caused by the electrical interaction between adjacent rows in DRAMs. We propose a machine learning-based solution that can detect and prevent Rowhammer attacks reliably. This method has lower power and area overhead compared to existing solutions.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Engineering, Electrical & Electronic

Characterizing Coherent Integrated Photonic Neural Networks Under Imperfections

Sanmitra Banerjee, Mahdi Nikdast, Krishnendu Chakrabarty

Summary: Integrated photonic neural networks (IPNNs) are being considered as promising alternatives to traditional electronic AI accelerators due to their improved computing speed and energy efficiency. However, the accuracy of IPNNs can be negatively affected by imperfections in the underlying MZI devices, including variations in lithography and thermal crosstalk. In this article, we systematically analyze the impact of such imperfections on IPNN accuracy and identify critical components that can lead to significant degradation. Our findings highlight the importance of addressing these imperfections to improve the reliability of IPNNs.

JOURNAL OF LIGHTWAVE TECHNOLOGY (2023)

Article Computer Science, Hardware & Architecture

On the Impact of Uncertainties in Silicon-Photonic Neural Networks

Sanmitra Banerjee, Mahdi Nikdast, Krishnendu Chakrabarty

Summary: This article presents a method of criticality assessment to identify susceptible components of silicon-photonic neural networks.

IEEE DESIGN & TEST (2023)

Article Computer Science, Information Systems

Fusion of IoT, AI, Edge-Fog-Cloud, and Blockchain: Challenges, Solutions, and a Case Study in Healthcare and Medicine

Farshad Firouzi, Shiyi Jiang, Krishnendu Chakrabarty, Bahar Farahani, Mahmoud Daneshmand, Jaeseung Song, Kunal Mankodiya

Summary: The digital transformation involves the convergence of technologies such as IoT, edge-fog-cloud computing, AI, and blockchain, blurring the lines between the physical and digital worlds. While these innovations have developed independently, they are increasingly intertwined, driving new business models. However, the adoption of this convergence is still in its early stages, facing issues such as a lack of consensus and best practices. This article provides a comprehensive insight into the fusion of these technologies, discussing requirements, reference architectures, applications, and challenges, and presenting a case study on privacy-preserving stress monitoring and management.

IEEE INTERNET OF THINGS JOURNAL (2023)

Article Computer Science, Information Systems

Stool Image Analysis for Digital Health Monitoring By Smart Toilets

Jin Zhou, Jackson McNabb, Nick DeCapite, Jose R. Ruiz, Deborah A. Fisher, Sonia Grego, Krishnendu Chakrabarty

Summary: This article presents a stool image analysis approach for classifying the form and color of stool using an IoT-based smart toilet. The researchers constructed a dataset of 3275 stool images, annotated by two gastroenterologists, and used convolutional neural networks and machine-learning techniques to achieve accurate classification of stool form and color. They also utilized an edge-cloud approach to optimize the balance between accuracy and latency in the classification process.

IEEE INTERNET OF THINGS JOURNAL (2023)

Article Computer Science, Information Systems

ReaLPrune: ReRAM Crossbar-Aware Lottery Ticket Pruning for CNNs

Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, Partha Pratim Pande

Summary: Training ML models at the edge can solve privacy/security issues, improve accessibility, and meet real-time requirements. However, existing edge platforms lack computing power for complex tasks. ReRAM-based architectures offer high-performance computing for on-chip CNN training, but lack scalability. This paper proposes a crossbar-aware pruning strategy, ReaLPrune, which can prune over 90% of weights, reducing hardware requirements and accelerating training.

IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (2023)

Article Computer Science, Hardware & Architecture

Enhanced Built-In Self-Diagnosis and Self-Repair Techniques for Daisy-Chain Design in MEDA Digital Microfluidic Biochips

Ling Zhang, Zipeng Li, Xing Huang, Krishnendu Chakrabarty

Summary: Digital microfluidic biochips are a promising alternative for laboratory procedures, and this study proposes a new daisy-chain design approach that integrates a self-repair scheme to automatically detect and correct faults. It also presents an efficient test generation method to achieve 100% fault coverage.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-Aware ReRAM-Based In-Memory Training Systems

Xiaoxuan Yang, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabartys, Hai Li

Summary: PIM enables energy-efficient deployment of CNNs, but the limited write endurance of ReRAM-based PIM hinders neural network training. To address this, we propose an endurance-aware framework called ESSENCE, which reduces weight reprogrammings by dynamically adjusting the probability of gradient updates. Experimental results show that ESSENCE can extend ReRAM's lifetime for training and achieve significant savings in update counts.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

No Data Available