4.3 Article

Non-Monopolizable Caches: Low-Complexity Mitigation of Cache Side Channel Attacks

Publisher

ASSOC COMPUTING MACHINERY
DOI: 10.1145/2086696.2086714

Keywords

Design; Security; Performance; Side-channel attacks; shared caches; secure architectures

Funding

  1. Air Force Research Laboratory [FA8750-09-1-0137]
  2. National Science Foundation [CNS-1018496, CNS-0958501]
  3. Direct For Computer & Info Scie & Enginr
  4. Division Of Computer and Network Systems [1018496] Funding Source: National Science Foundation
  5. Direct For Computer & Info Scie & Enginr
  6. Division Of Computer and Network Systems [0958501] Funding Source: National Science Foundation

Ask authors/readers for more resources

We propose a flexibly-partitioned cache design that either drastically weakens or completely eliminates cache-based side channel attacks. The proposed Non-Monopoliz able (NoMo) cache dynamically reserves cache lines for active threads and prevents other co-executing threads from evicting reserved lines. Unreserved lines remain available for dynamic sharing among threads. NoMo requires only simple modifications to the cache replacement logic, making it straightforward to adopt. It requires no software support enabling it to automatically protect pre-existing binaries. NoMo results in performance degradation of about 1% on average. We demonstrate that NoMo can provide strong security guarantees for the AES and Blowfish encryption algorithms.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.3
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available