Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon

Title
Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon
Authors
Keywords
-
Journal
IEEE TRANSACTIONS ON COMPUTERS
Volume 66, Issue 1, Pages 147-162
Publisher
Institute of Electrical and Electronics Engineers (IEEE)
Online
2016-05-10
DOI
10.1109/tc.2016.2564969

Ask authors/readers for more resources

Publish scientific posters with Peeref

Peeref publishes scientific posters from all research disciplines. Our Diamond Open Access policy means free access to content and no publication fees for authors.

Learn More

Ask a Question. Answer a Question.

Quickly pose questions to the entire community. Debate answers and get clarity on the most important issues facing researchers.

Get Started