4.6 Article

Impact of Wire Geometry on Interconnect RC and Circuit Delay

Journal

IEEE TRANSACTIONS ON ELECTRON DEVICES
Volume 63, Issue 6, Pages 2488-2496

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TED.2016.2554561

Keywords

Capacitance; circuit delay; Cu; damascene; interconnects; low-k; RC; resistance; resistivity

Ask authors/readers for more resources

We investigate the impact of wire geometry on the resistance, capacitance, and RC delay of Cu/low-k damascene interconnects for fixed line-to-line pitch. The resistance is computed by applying a semiempirical resistivity model, calibrated to Cu damascene wires, integrated with a Ru-based liner, currently investigated for the 7 nm logic technology node. The capacitance is simulated by means of a 2D field solver (Raphael) by Synopsys. The impact of line dimensions is analyzed for the case of 32 nm pitch interconnects, which are representative of the 7 nm logic technology node. We show that for aspect ratios greater than 1, the resistance is more sensitive to variations of the line width rather than of the line height, because of the higher surface scattering induced by the sidewall interfaces, which are closer to each other compared with the top and bottom interfaces. For capacitance, low-k sidewall damage exacerbates capacitance sensitivity to line dimensions and, for typical interconnect schemes, the impact of line width variations dominates over variations of the line height. We demonstrate that for a given pitch and dielectric stack height, the RC delay can be significantly reduced by targeting wider and deeper damascene trenches, that is, by trading capacitance for resistance, and that an optimal wire geometry for RC delay minimization exists. In addition, we show that a given RC delay can be achieved with several geometries and, therefore, R and C pairs, which represents a useful degree of freedom for designers to optimize system-level performance. As an application, we analyze a possible 7 nm technology scenario and show that wide and deep damascene trenches can mitigate the impact of the increased wire resistance on circuit delay.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Article Engineering, Electrical & Electronic

GaN power IC design using the MIT virtual source GaNFET compact model with gate leakage and VT instability effect

Shuzhen You, Xiangdong Li, Karen Geens, Niels Posthuma, Ming Zhao, Hu Liang, Guido Groeseneken, Stefaan Decoutere

Summary: This work introduces a MIT virtual source GaNFET model for GaN IC design, successfully simulating the evolution of output waveforms in a monolithically integrated GaN driver circuit under switching stress, and demonstrating the significant impact of gate leakage on the gate voltage redistribution of power p-GaN gate HEMTs controlled by the integrated GaN driver.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2021)

Article Engineering, Electrical & Electronic

Effects of Back-Gate Bias on the Mobility and Reliability of Junction-Less FDSOI Transistors for 3-D Sequential Integration

Zhicheng Wu, Jacopo Franco, Anne Vandooren, Philippe Roussel, Ben Kaczer, Dimitri Linten, Nadine Collaert, Guido Groeseneken

Summary: Low thermal budget junction-less transistors with back-gate were fabricated for 3-D sequential integration. The study showed that back-gate bias can modulate carrier mobility and BTI reliability. Applying back-gate bias during ON-state can adjust device performance without reliability penalty, while applying it during both ON- and OFF-states can improve BTI reliability without performance loss.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2021)

Article Physics, Applied

Impact of ambient temperature on the switching of voltage-controlled perpendicular magnetic tunnel junction

Y. C. Wu, W. Kim, S. Van Beek, S. Couet, R. Carpenter, S. Rao, S. Kundu, J. Van Houdt, G. Groeseneken, D. Crotti, G. S. Kar

Summary: The voltage control of magnetic anisotropy (VCMA) effect enables a voltage-mediated mechanism for magnetization switching with lower power applications. This study experimentally investigates VCMA-induced switching, observing a clear decrease in critical switching voltage (Vc) at elevated temperatures, with a 50% reduction when ambient temperature (T) is increased from 300K to 360K. The temperature dependence of these characteristics is well explained by variations in saturation magnetization (MS), interfacial anisotropy (Ki), and VCMA coefficient (xi).

APPLIED PHYSICS LETTERS (2021)

Article Engineering, Electrical & Electronic

Modeling of Repeated FET Hot-Carrier Stress and Anneal Cycles Using Si-H Bond Dissociation/Passivation Energy Distributions

Michiel Vandemaele, Jacopo Franco, Stanislav Tyaginov, Guido Groeseneken, Ben Kaczer

Summary: This study presents measurements of multiple hot-carrier stress and high-temperature anneal cycles on the same nFETs in a commercial 40-nm bulk CMOS technology. It models the degradation anneal process assuming Si-H bond breakage during stress and bond passivation during anneal, with the bond dissociation and passivation energies following a bivariate Gaussian distribution. The research finds no correlation between bond dissociation and passivation energies, and notes that repeated HC stress and anneal cycles change the shape of the distribution of bond passivation energies from Gaussian to non-Gaussian.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2021)

Article Engineering, Electrical & Electronic

Compact Modeling of Multidomain Ferroelectric FETs: Charge Trapping, Channel Percolation, and Nucleation-Growth Domain Dynamics

Y. Xiang, M. Garcia Bardon, B. Kaczer, Md Nur K. Alam, L-A Ragnarsson, K. Kaczmarek, B. Parvais, G. Groeseneken, J. Van Houdt

Summary: The study presents a hardware-validated FeFET compact model that addresses key aspects of MFIS electrostatics, laying the groundwork for memory and logic applications.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2021)

Article Engineering, Electrical & Electronic

ESD HBM Discharge Model in RF GaN-on-Si (MIS)HEMTs

Wei-Min Wu, Ming-Dou Ker, Shih-Hung Chen, Arturo Sibaja-Hernandez, Sachin Yadav, Uthayasankaran Peralagu, Hao Yu, AliReza Alian, Vamsi Putcha, Bertrand Parvais, Nadine Collaert, Guido Groeseneken

Summary: Gallium nitride (GaN) technologies play an important role in commercial advanced RF systems, but face challenges in reliability due to electrostatic discharge (ESD). A mis-correlation between standard-defined human body model (HBM) ESD robustness and commonly used transmission line pulse (TLP) failure current was observed in GaN high electron mobility transistors (HEMTs). A discharge model is proposed to explain the mechanism, and simulations confirm that the mis-correlation is due to 2-dimensional electron gas (2DEG) resistance modulation in response to HBM ESD transient voltage waveforms.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Engineering, Electrical & Electronic

LaSiOx- and Al2O3-Inserted Low-Temperature Gate-Stacks for Improved BTI Reliability in 3-D Sequential Integration

Zhicheng Wu, Jacopo Franco, Anne Vandooren, Hiroaki Arimura, Lars-Ake Ragnarsson, Philippe Roussel, Ben Kaczer, Dimitri Linten, Nadine Collaert, Guido Groeseneken

Summary: This article proposes a method to improve the reliability of high-k/metal gate structures by inserting defect decoupling layers between SiO2 and HfO2. The study shows that LaSiOx has little impact on carrier mobility, while Al2O3 can improve both positive and negative BTI reliability. Furthermore, the simplified dual gate-stack integration strategy is explored, indicating that the pMOS gate-stack is more tolerant to the presence of a residual LaSiOx layer.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Engineering, Electrical & Electronic

ESD nMOSFETs in Advanced Bulk FinFET Technology With Dual S/D Epitaxy

Wen-Chieh Chen, Shih-Hung Chen, Thomas Chiarella, Geert Hellings, Dimitri Linten, Guido Groeseneken

Summary: The electrostatic discharge (ESD) reliability of OFF- and ON-state NMOS field-effect transistors in a bulk FinFET technology is investigated in this study. The study focuses on the impacts of gate pitch (GP) and gate length (L-g) on the epitaxy of source and drain regions. It is found that a large GP leads to nonuniform epitaxy and high power density localization in the device, while a large L-g improves the ESD performance. Additionally, the study reveals that the clamping voltage and ON-resistance of the ON-state NMOSFET are influenced by L-g and GPs, with shorter L-g and the same gate space achieving better ESD performance.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Engineering, Electrical & Electronic

Trapping of Hot Carriers in the Forksheet FET Wall: A TCAD Study

M. Vandemaele, B. Kaczer, S. Tyaginov, J. Franco, E. Bury, A. Chasin, A. Makarov, G. Hellings, G. Groeseneken

Summary: We simulate the spatial profile of trapped charge in the forksheet FET wall under hot-carrier stress and find that the charge trapping occurs above and below the horizontal projection of the sheet. The charge profile is independent of the sheet width, and the trapping in the forksheet FET wall is significantly smaller than the trapping in the gate stack.

IEEE ELECTRON DEVICE LETTERS (2023)

Article Engineering, Electrical & Electronic

ON-State Human Body Model ESD Failure Mechanisms in GaN-on-Si RF MIS-HEMTs

Wei-Min Wu, Shih-Hung Chen, Chun-An Shih, Bertrand Parvais, Nadine Collaert, Ming-Dou Ker, Tian-Li Wu, Guido Groeseneken

Summary: Gallium nitride (GaN)-on-Si technologies for advanced RF applications have been drawing attention in the semiconductor industry, along with challenges in RF electrostatic discharge (ESD) reliability. Investigating both positive and negative ESD stress polarities is equally important. In this study, four scenarios of positive and negative human body model (HBM) stresses were conducted on GaN-on-Si (MIS-HEMTs) with gate-tied-to-source and gate-tied-to-drain configurations. It was found that the negative GS MIS-HEMT exhibited a failure mechanism different from the constant-power 2DEG failure mechanism in the typical positive GS (MIS-HEMT).

IEEE ELECTRON DEVICE LETTERS (2023)

Article Engineering, Electrical & Electronic

Variability in Planar FeFETs-Channel Percolation Impact

K. Kaczmarek, M. Garcia Bardon, Y. Xiang, N. Ronchi, L. -A. Ragnarsson, U. Celano, K. Banerjee, B. Kaczer, G. Groeseneken, J. Van Houdt

Summary: We investigate the origins of threshold voltage (V-TH) variability in planar ferroelectric FETs (FeFETs) by considering both process variations and source-drain channel percolation. By using a percolation-aware physics-based multidomain FeFET model, we are able to accurately capture the measured V-TH statistics across various channel dimensions in fabricated devices. Our findings suggest that the bimodal V-TH distribution observed in large devices can be explained by percolation, while the transition to a monomodal distribution in scaled devices is qualitatively reproduced by the overlapping Pelgrom-type and percolative variabilities in the model. Furthermore, we demonstrate that the percolation-related FeFET V-TH variability is minimized when the channel aspect ratio is equal to 1 in terms of device geometry.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2023)

Proceedings Paper Engineering, Multidisciplinary

Investigating Nanowire, Nanosheet and Forksheet FET Hot-Carrier Reliability via TCAD Simulations

Michiel Vandemaele, Ben Kaczer, Erik Bury, Jacopo Franco, Adrian Chasin, Alexander Makarov, Hans Mertens, Geert Hellings, Guido Groeseneken

Summary: We present TCAD simulation studies on the hot-carrier reliability of nanowire (NW), nanosheet (NS), and forksheet (FS) FETs. The simulations involve solving the Boltzmann transport equation, calculating interface state generation and bulk defect charging, and evaluating the impact of generated/trapped charges on FET characteristics. We discuss the models used in hot-carrier simulation flows, anneal measurements, and validate the simulation models by comparing with NW FET measurements, providing insights for NS and FS FETs.

2023 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, IRPS (2023)

Proceedings Paper Engineering, Electrical & Electronic

Comprehensive Investigations of HBM ESD Robustness for GaN-on-Si RF HEMTs

S. Abhinay, W. -M. Wu, C. -A. Shih, S. -H. Chen, A. Sibaja-Hernandez, B. Parvais, U. Peralagu, A. Alian, T. -L. Wu, M. -D. Ker, G. Groeseneken, N. Collaert

Summary: This paper presents an extensive experimental study and simulations on the impact of different stress scenarios on the ESD robustness of GaN RF HEMTs. The study highlights the importance of different current discharge paths for each stress scenario and verifies the contribution of the on-state gate Schottky diode to the robustness of the HEMTs. Additionally, three types of HBM failure mechanisms are identified under different stress scenarios.

2022 INTERNATIONAL ELECTRON DEVICES MEETING, IEDM (2022)

Proceedings Paper Engineering, Multidisciplinary

Simulation Comparison of Hot-Carrier Degradation in Nanowire, Nanosheet and Forksheet FETs

Michiel Vandemaele, Ben Kaczer, Stanislav Tyaginov, Erik Bury, Adrian Chasin, Jacopo Franco, Alexander Makarov, Hans Mertens, Geert Hellings, Guido Groeseneken

Summary: Forksheet (FS) FETs are a new transistor architecture that utilizes vertically stacked nFET and pFET sheets with a dielectric wall, reducing p-to-n separation. Hot-carrier degradation (HCD) simulations show that both FS FETs and NS FETs can reduce HCD with increasing sheet width when considering interface state generation. Furthermore, an initial assessment suggests that the impact of oxide defect charging in the FS wall can be controlled under operating conditions.

2022 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) (2022)

Proceedings Paper Engineering, Multidisciplinary

Wafer-Level Aging of InGaAs/GaAs Nano-Ridge p-i-n Diodes Monolithically Integrated on Silicon

Ping-Yi Hsieh, Artemisia Tsiara, Barry O'Sullivan, Didit Yudistira, Marina Baryshnikova, Guido Groeseneken, Bernardette Kunert, Marianna Pantouvaki, Joris Van Campenhout, Ingrid De Wolf

Summary: This study reports for the first time a reliability study on degradation of InGaAs/GaAs nano-ridge p-i-n diodes monolithically integrated on Si by nano-ridge engineering (NRE). The results show that current crowding and Joule heating near the p-contact are responsible for the degradation in forward bias region, while the electrical stress-induced leakage current indicates the degradation of crystal quality in reverse bias region. The study also demonstrates that a sintering process can lower the p-contact resistance and improve electrical stability, and the high aspect-ratio of the trenches leads to effective threading dislocation trapping.

2022 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) (2022)

No Data Available