4.5 Article

A Survey and Evaluation of FPGA High-Level Synthesis Tools

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TCAD.2015.2513673

Keywords

BAMBU; comparison; DWARV; evaluation; field-programmable gate array (FPGA); high-level synthesis (HLS); LEGUP; survey

Ask authors/readers for more resources

High-level synthesis (HLS) is increasingly popular for the design of high-performance and energy-efficient heterogeneous systems, shortening time-to-market and addressing today's system complexity. HLS allows designers to work at a higher-level of abstraction by using a software program to specify the hardware functionality. Additionally, HLS is particularly interesting for designing field-programmable gate array circuits, where hardware implementations can be easily refined and replaced in the target device. Recent years have seen much activity in the HLS research community, with a plethora of HLS tool offerings, from both industry and academia. All these tools may have different input languages, perform different internal optimizations, and produce results of different quality, even for the very same input description. Hence, it is challenging to compare their performance and understand which is the best for the hardware to be implemented. We present a comprehensive analysis of recent HLS tools, as well as overview the areas of active interest in the HLS research community. We also present a first-published methodology to evaluate different HLS tools. We use our methodology to compare one commercial and three academic tools on a common set of C benchmarks, aiming at performing an in-depth evaluation in terms of performance and the use of resources.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Editorial Material Computer Science, Hardware & Architecture

Introduction to the Special Section on High-level Synthesis for FPGA: Next-generation Technologies and Applications

Christian Pilato, Zhenman Fang, Yuko Hara-Azumi, Jim Hwang

ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS (2022)

Article Green & Sustainable Science & Technology

Hydrodynamic Limitations to Mangrove Seedling Retention in Subtropical Estuaries

Kelly M. Kibler, Christian Pilato, Linda J. Walters, Melinda Donnelly, Jyotismita Taye

Summary: This study evaluates the biophysical limitations to mangrove-seedling persistence by measuring the anchoring force of two mangrove species. The study found that the anchoring force of Rhizophora mangle seedlings was consistently higher than Avicennia germinans, but the rate of increase in anchoring force with growth was faster for Avicennia germinans. Increasing the density of surrounding vegetation had a positive effect on the anchoring force of both species.

SUSTAINABILITY (2022)

Article Computer Science, Hardware & Architecture

Automatic Creation of High-bandwidth Memory Architectures from Domain-specific Languages: The Case of Computational Fluid Dynamics

Stephanie Soldavini, Karl Friebel, Mattia Tibaldi, Gerald Hempel, Jeronimo Castrillon, Christian Pilato

Summary: This article proposes an automated tool flow for generating massively parallel accelerators on high-bandwidth-memory-equipped FPGAs from a domain-specific language. The method allows designers to integrate and evaluate various compiler or hardware optimizations. Experimental results show that this approach enables efficient data movement and processing, and achieves up to 103 GFLOPS with one compute unit on a Xilinx Alveo U280, which is up to 25x more energy efficient than expert-crafted Intel CPU implementations.

ACM TRANSACTIONS ON RECONFIGURABLE TECHNOLOGY AND SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

Optimizing the Use of Behavioral Locking for High-Level Synthesis

Christian Pilato, Luca Collini, Luca Cassano, Donatella Sciuto, Siddharth Garg, Ramesh Karri

Summary: The globalization of the electronics supply chain requires effective methods to prevent reverse engineering and IP theft. Logic locking is a promising solution, but there are concerns about overhead and choosing the optimal security metric. This study proposes a metaframework for optimizing behavioral locking during high-level synthesis (HLS) of IP cores, providing better results than random or topological locking.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Engineering, Electrical & Electronic

Generating Posit-Based Accelerators With High-Level Synthesis

Raul Murillo, Alberto A. Del Barrio, Guillermo Botella, Christian Pilato

Summary: This paper introduces a method of incorporating the posit data type into the high-level synthesis design process to improve the computational accuracy for scientific applications. Evaluations show that using posit arithmetic reduces computation errors and achieves higher accuracy compared to standard floating-point numbers. The paper also proposes a hybrid scheme that utilizes posit numbers in private local memory while the accelerator operates in the traditional floating-point notation.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2023)

Article Computer Science, Hardware & Architecture

A Survey of FPGA Optimization Methods for Data Center Energy Efficiency

Mattia Tibaldi, Christian Pilato

Summary: This article provides a survey of academic literature on field programmable gate array (FPGA) and their utilization for energy efficiency acceleration in data centers. It critically presents existing FPGA energy optimization techniques and discusses their application in such systems. The article also analyzes over ten years of research in energy optimization techniques, classifying them by purpose, method of application, and impacts on consumption sources. Finally, the article concludes with the challenges and potential innovations in this sector.

IEEE TRANSACTIONS ON SUSTAINABLE COMPUTING (2023)

Proceedings Paper Automation & Control Systems

HERMES: qualification of High pErformance pRogrammable Microprocessor and dEvelopment of Software ecosystem

Nadia Ibellaatti, Edouard Lepape, Alp Kilic, Kaya Akyel, Kassem Chouayakh, Fabrizio Ferrandi, Claudio Barone, Serena Curzel, Michele Fiorito, Giovanni Gozzi, Miguel Masmano, Ana Risquez Navarro, Manuel Munoz, Vicente Nicolau Gallego, Patricia Lopez Cueva, Jean-noel Letrillard, Franck Wartel

Summary: European efforts to enhance competitiveness in space services involve research and development of advanced software and hardware solutions. The EU-funded HERMES project contributes by qualifying radiation-hardened, high-performance programmable microprocessors and developing a software ecosystem for complex applications. Its objectives include reaching a technology readiness level of 6 for the rad-hard NG-ULTRA FPGA, and validating tools supporting multicore software programming and FPGA acceleration.

2023 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, DATE (2023)

Proceedings Paper Automation & Control Systems

Towards High-Level Synthesis of Quantum Circuits

Chao Lu, Christian Pilato, Kanad Basu

Summary: In recent years, there has been a rise in the number of quantum algorithms, which offer exponential speedup compared to classical algorithms. Quantum algorithms have applications in machine learning, molecular simulation, and cryptography. However, programming a quantum computer requires extensive knowledge of linear algebra and quantum mechanics, which may be challenging for traditional software programmers. Additionally, the current quantum programming paradigm lacks scalability and integration of quantum circuits for complex functionality. This paper introduces QHLS, the first quantum high-level synthesis (HLS) framework, which allows quantum programmers to start with high-level behavioral descriptions and automatically generate corresponding quantum circuits, reducing the complexity of quantum computer programming. Experimental results demonstrate the success of QHLS in translating high-level behavioral software programs containing arithmetic, logical, and conditional statements.

2023 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, DATE (2023)

Proceedings Paper Automation & Control Systems

Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis

Vito Giovanni Castellana, Nicolas Bohm Agostini, Ankur Limaye, Vinay Amatya, Marco Minutoli, Joseph Manzano, Antonino Tumeo, Serena Curzel, Michele Fiorito, Fabrizio Ferrandi

Summary: The opensource Software Defined Architectures (SODA) Synthesizer is a compiler-based tool that automatically generates domain-specialized systems for ASICs or FPGAs from high-level programming. It consists of a frontend, SODA-OPT, which interfaces with productive programming tools and performs high-level optimizations, and a state-of-the-art high-level synthesis backend, Bambu, to generate custom accelerators. One specific application of SODA is the generation of accelerators for ultra-low latency inference and control on autonomous systems for scientific discovery.

2023 28TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, ASP-DAC (2023)

Proceedings Paper Automation & Control Systems

Iris: Automatic Generation of Efficient Data Layouts for High Bandwidth Utilization

Stephanie Soldavini, Donatella Sciuto, Christian Pilato

Summary: Optimizing data movements is crucial in dealing with the challenges of data deluge and big data applications in heterogeneous computing. Although modern high-level synthesis (HLS) tools are efficient in optimizing computational aspects, there is still room for improvement in data transfers. Novel architectures, such as High-Bandwidth Memory with wider data busses, have been developed to address this issue. However, designers need to tailor their hardware/software interfaces to fully utilize the available bandwidth. We propose a methodology that automates the discovery and implementation of a data layout to maximize the available bandwidth when streaming data between memory and an accelerator.

2023 28TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, ASP-DAC (2023)

Proceedings Paper Computer Science, Artificial Intelligence

QKSA: Quantum Knowledge Seeking Agent

Aritra Sarkar, Zaid Al-Ars, Koen Bertels

Summary: In this research, the universal reinforcement learning agent models are extended to quantum environments. The utility function of a classical exploratory stochastic Knowledge Seeking Agent is generalized to distance measures from quantum information theory. Quantum process tomography algorithms are used to model environmental dynamics. The optimal policy is selected based on a mutable cost function, and multiple agents with pareto-optimal policies evolve using genetic programming.

ARTIFICIAL GENERAL INTELLIGENCE, AGI 2022 (2023)

Proceedings Paper Computer Science, Hardware & Architecture

MLIR Loop Optimizations for High-Level Synthesis: a Case Study

Serena Curzel, Sofija Jovic, Michele Fiorito, Antonino Tumeo, Fabrizio Ferrandi

PROCEEDINGS OF THE 2022 31ST INTERNATIONAL CONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES, PACT 2022 (2022)

Proceedings Paper Computer Science, Artificial Intelligence

Designing ML-Resilient Locking at Register-Transfer Level

Dominik Sisejkovic, Luca Collini, Benjamin Tan, Christian Pilato, Ramesh Karri, Rainer Leupers

Summary: This paper investigates the resilience of the state-of-the-art RTL locking method ASSURE against machine-learning attacks. Two machine-learning reinforced RTL locking schemes are proposed based on the lessons learned. ML-driven security metrics are also developed to evaluate the schemes against the latest ML-based attacks.

PROCEEDINGS OF THE 59TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC 2022 (2022)

Proceedings Paper Computer Science, Artificial Intelligence

ALICE: An Automatic Design Flow for eFPGA Redaction

Chiara Muscari Tomajoli, Luca Collini, Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan, Xifan Tang, Pierre-Emmanuel Gaillardon, Ramesh Karri, Christian Pilato

Summary: Fabricating integrated circuits is becoming too expensive for many semiconductor design companies. To protect the intellectual property of hardware designs, designers can use embedded reconfigurable devices to hide the true functionality of selected design portions, and the ALICE design flow addresses the challenges of this process.

PROCEEDINGS OF THE 59TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC 2022 (2022)

Proceedings Paper Computer Science, Theory & Methods

SODA Synthesizer: an Open-source, Multi-level, Modular, Extensible Compiler from High-level Frameworks to Silicon

Nicolas Bohm Agostini, Ankur Limaye, Marco Minutoli, Vito Giovanni Castellana, Joseph Manzano, Antonino Tumeo, Serena Curzel

Summary: The SODA Synthesizer is an open-source hardware compiler framework that consists of a frontend and a backend. The MLIR-based frontend performs system-level design, code partitioning, and high-level optimizations, while the backend uses a state-of-the-art high-level synthesis tool to generate the final hardware design. The framework can interface with logic synthesis tools for field programmable gate arrays or application-specific integrated circuits, both commercial and open-source.

2022 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER AIDED DESIGN, ICCAD (2022)

No Data Available