4.3 Article Proceedings Paper

On the superiority of modularity-based clustering for determining placement-relevant clusters

Journal

INTEGRATION-THE VLSI JOURNAL
Volume 74, Issue -, Pages 32-44

Publisher

ELSEVIER
DOI: 10.1016/j.vlsi.2020.03.007

Keywords

EDA; Physical design; Floorplanning; Placement; Modularity-based clustering

Funding

  1. Coordenacao de Aperfeicoamento de Pessoal de Nivel Superior - Brasil (CAPES) [001]
  2. CNPq
  3. FAPERGS
  4. Qualcomm
  5. Mentor Graphics
  6. DARPA [HR0011-182-0032]
  7. NSF [CCF-1564302]
  8. C-DEN center
  9. Samsung
  10. NXP Semiconductors

Ask authors/readers for more resources

In advanced technology nodes, IC implementation faces increasing design complexity as well as ever-more demanding design schedule requirements. This raises the need for new decomposition approaches that can help reduce problem complexity, in conjunction with new predictive methodologies that can help avoid bottlenecks and loops in the physical implementation flow. Notably, with modern design methodologies it would be very valuable to better predict final placement of the gate-level netlist: this would enable more accurate early assessment of performance, congestion and floorplan viability in the SOC floorplanning/RTL planning stages of design. In this work, we study a new criterion for the classic challenge of VLSI netlist clustering: how well netlist clusters stay together through final implementation. We propose the use of several evaluators of this criterion. We also explore the use of modularity-driven clustering to identify natural clusters in a given graph without the tuning of parameters and size balance constraints typically required by VLSI CAD partitioning methods. We find that the netlist hypergraph-to-graph mapping can significantly affect quality of results, and we experimentally identify an effective recipe for weighting that also comprehends topological proximity to I/Os. Further, we empirically demonstrate that modularity-based clustering achieves better correlation to actual netlist placements than traditional VLSI CAD methods (our method is also 2x faster than use of hMetis for our largest testcases). Finally, we propose a flow with fast blob placement of clusters. The blob placement is used as a seed for a global placement tool that performs placement of the flat netlist. With this flow we achieve 20% speedup on the placement of a netlist with 4.9 M instances with less than 3% difference in routed wirelength.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.3
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available