A thermal-sensitive design of a 3D torus-based optical NoC architecture

Title
A thermal-sensitive design of a 3D torus-based optical NoC architecture
Authors
Keywords
Chip multiprocessor, Optical network-on-chip, Thermal effect, Routing algorithm
Journal
INTEGRATION-THE VLSI JOURNAL
Volume 68, Issue -, Pages 22-29
Publisher
Elsevier BV
Online
2019-06-01
DOI
10.1016/j.vlsi.2019.05.007

Ask authors/readers for more resources

Reprint

Contact the author

Find the ideal target journal for your manuscript

Explore over 38,000 international journals covering a vast array of academic fields.

Search

Ask a Question. Answer a Question.

Quickly pose questions to the entire community. Debate answers and get clarity on the most important issues facing researchers.

Get Started