4.6 Article

As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN

Journal

JOURNAL OF APPLIED PHYSICS
Volume 112, Issue 5, Pages -

Publisher

AMER INST PHYSICS
DOI: 10.1063/1.4748170

Keywords

-

Ask authors/readers for more resources

Traps of energy levels E-c -0.26 and E-c -0.61 eV have been identified as as-grown traps in n-GaN grown by metal-organic chemical vapor deposition by using deep level transient spectroscopy of the Schottky contacts fabricated by resistive evaporation. The additional traps of E-c -0.13 and E-c -0.65 eV have been observed in samples whose contacts are deposited by electron-beam evaporation. An increase in concentration of the E-c -0.13 and E-c -0.65 eV traps when approaching the interface between the contact and the GaN film supports our argument that these traps are induced by electron-beam irradiation. Conversely, the depth profiles of as-grown traps show different profiles between several samples with increased or uniform distribution in the near surface below 50 nm. Similar profiles are observed in GaN grown on a sapphire substrate. We conclude that the growth process causes these large concentrations of as-grown traps in the near-surface region. It is speculated that the finishing step in the growth process should be an essential issue in the investigation of the surface state of GaN. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.4748170]

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Article Physics, Applied

GaN damage-free cyclic etching by sequential exposure to Cl2 plasma and Ar plasma with low Ar+-ion energy at substrate temperature of 400 °C

Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, Masaru Hori

Summary: This study demonstrates damage-free atomic layer etching (ALE) of GaN using a cyclic process, where the chlorinated layer formed by Cl2 plasma exposure is removed by Ar plasma. A substrate temperature of 400 degrees C promotes etching even at lower ion energy, resulting in a higher ALE synergy of 62%. The proposed high temperature ALE method shows promise for achieving damage-free etching of GaN.

JOURNAL OF APPLIED PHYSICS (2023)

Article Nanoscience & Nanotechnology

Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method

Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Kenji Ishikawa, Osamu Oda, Noritsugu Umehara, Masaru Hori

Summary: The mechanical properties, including compressive strength and modulus, of the maze-like CNW structure synthesized by RI-PECVD technique were analyzed using nanoindentation method, revealing an elastoplastic behavior.

MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING (2023)

Article Engineering, Electrical & Electronic

Distribution Matching for Dimming Control in Visible-Light Region-of-Interest Signaling

Phuc Duc Nguyen, Yoshifumi Shiraki, Kenji Ishikawa, Jun Muramatsu, Noboru Harada, Takehiro Moriya

Summary: We propose a two-level dimmer based on binary distribution matching for region-of-interest (RoI) signaling applications. Our extended multiset-partition distribution matching (EMPDM) algorithm with a novel binary-tree-structure implementation reduces the rate loss of the dimmer. Additionally, we introduce 4p-EMPDM, a compact version of EMPDM, which guarantees flicker mitigation for visible-light RoI signaling systems without using any run-length limited code.

IEEE PHOTONICS JOURNAL (2023)

Article Chemistry, Multidisciplinary

Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance

Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka, Masaru Hori

Summary: Surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) was performed using carbon nanowalls (CNWs) grown with the addition of oxygen in a mixture of CH4 and H2 gases. The high-quality CNWs had different crystallinity and C-OH groups compared to normal CNWs, but similar wall-to-wall distances and wettability. At a low laser fluence, the high-quality CNWs showed improved sensitivity for the detection of low-molecular-weight analytes while maintaining a high survival yield (SY) of 0.89.

NANOMATERIALS (2023)

Editorial Material Physics, Multidisciplinary

Editorial: Prospects of plasma generated species interaction with organic and inorganic materials

Pankaj Attri, Kazunori Koga, Hirofumi Kurita, Kenji Ishikawa, Masaharu Shiratani

FRONTIERS IN PHYSICS (2023)

Article Biochemistry & Molecular Biology

Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma

Camelia Miron, Kenji Ishikawa, Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

Summary: Cold atmospheric pressure plasmas have the potential to be effective medical tools for cancer treatment. However, the understanding of the role of reactive species formed in plasma and their activation of biochemical pathways is still limited. In this study, we investigated the generation of chemical compounds in Ringer's acetate solution using low-temperature plasma and their effects on breast cancer cells and non-tumorigenic breast epithelial cells. The results showed that the plasma-derived compounds had both stimulatory and inhibitory effects on cell viability, depending on their concentration in the irradiated liquids.

FREE RADICAL RESEARCH (2023)

Article Physics, Applied

Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets

Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzuka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

Summary: The characteristics of a gas-temperature-controllable atmospheric-pressure helium plasma jet and the development of an experimental system for cell viability assays of yeasts are described. The physicochemical properties of the plasma plume were similar to those of a typical helium plasma jet and allowed for maintaining a temperature suitable for yeast. The experimental system showed good reproducibility of cell viability and can be used for various experiments, including the identification of genes involved in resistance to direct plasma irradiation.

JAPANESE JOURNAL OF APPLIED PHYSICS (2023)

Article Physics, Applied

Organic decomposition and synthesis reactions in lactated solution exposed to nonequilibrium atmospheric pressure plasma

Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

Summary: Lactate, an important intermediate in the food and pharmaceutical industries, has been found to have effective antitumor action when exposed to plasma in Ringer's solution. This exposure leads to the production of small molecule aldehydes, ketones, and organic acids, as well as the formation of furanone isomers. The compound 2,3-Dimethyl-tartaric acid is believed to be the key component in the antitumor action, and various aqueous reaction mechanisms, including dehydration, esterification, hydrolysis, and dimerization, have been explained. This study has implications for the development of novel cancer therapies and the field of plasma organic chemistry.

PLASMA PROCESSES AND POLYMERS (2023)

Article Multidisciplinary Sciences

Plasma-Assisted Priming: Improved Germination and Seedling Performance of Papaya

Deng-Ke Xi, Seong Ling Yap, Nitturi Naresh Kumar, Chian Cheng Toh, Kenji Ishikawa, Masaru Hori

Summary: Plasma assisted priming is effective in improving the germination rate and growth performance of papaya seeds.

SAINS MALAYSIANA (2023)

Article Materials Science, Multidisciplinary

Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma

Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

Summary: The effects of substrate temperature on the etch rate and selectivity of PECVD-prepared SiN, SiO2, and a-C films were investigated. The etch rate of SiN was higher than that of SiO2 at all temperatures, and decreased as the temperature decreased. The etch rate of SiO2, on the other hand, increased with decreasing temperature. The selectivity of SiN over SiO2 reached unity at -20°C. The etch rate of a-C films remained constant regardless of temperature. The difference in FC thickness between SiN and SiO2 films accounted for the lower etch rate of SiO2, and the decrease in FC thickness at lower temperatures led to a decrease in etch rate. The higher stability of the surface N-H modification layer at low temperatures explained the decrease in etch rate for SiN etching, as confirmed by in situ FTIR.

VACUUM (2023)

Article Multidisciplinary Sciences

High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts

Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, Kenji Ishikawa, Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, Masaru Hori

Summary: Various liquid biopsy methods have been developed for non-invasive and early disease detection. Researchers have developed a glass filter that can capture circulating tumor cells (CTCs) and used it for detecting CTCs in lung cancer patients. They also developed a visualization system and demonstrated the direct culture of captured cells on the glass filter.

SCIENTIFIC REPORTS (2023)

Article Physics, Applied

Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma

Ma. Shanlene D. C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

Summary: This work explores the application of the in-liquid plasma (ILP) process for carbon formation on ethanol-immersed metal substrates. Graphene, graphitic carbon, and amorphous carbon were produced simultaneously during plasma discharge. Graphitic and amorphous carbon coexisted on the metal substrate surface, while free-standing graphene formed in ethanol. The proposed mechanism for carbon formation on metal substrates is presented.

PLASMA PROCESSES AND POLYMERS (2023)

Review Biochemistry & Molecular Biology

Generation and measurement of low-temperature plasma for cancer therapy: a historical review

Kenji Ishikawa, Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori

Summary: This review provides a historical background of the development of biological applications of low-temperature plasmas. It assesses the generation of plasma, methods and devices, plasma sources, and measurements of plasma properties. Currently, direct irradiation methods and indirect methods using plasma-treated liquids are being increasingly used in preclinical studies and cancer therapy. The authors discuss the prospects for further development in cancer therapeutic applications by understanding the interactions between the plasma and living organisms.

FREE RADICAL RESEARCH (2023)

Review Biochemistry & Molecular Biology

Plasma activated Ringer's lactate solution

Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaru Hori

Summary: Low-temperature plasma (LTP) has been widely used in life science. LTP can be used to irradiate water, medium, and Ringer's solutions to produce plasma-activated solutions, which contain chemical compounds produced by reactions among LTP, air, and solutions. Reactive oxygen and nitrogen species (RONS) are major components in plasma-activated solutions, and recent studies have shown the production of plasma-activated organic compounds in plasma-activated Ringer's lactate solution (PAL). In vitro and in vivo studies have demonstrated the anti-tumor effects of PAL on cancers, and biochemical analyses have revealed the intracellular molecular mechanisms of cancer cell death by PAL.

FREE RADICAL RESEARCH (2023)

Article Chemistry, Analytical

Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device

Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, Kenji Ishikawa, Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, Yoshinobu Baba

Summary: This study reports the use of a blood microfiltration device for noninvasive detection of circulating tumor cells (CTCs). The method efficiently separates and captures CTCs from whole blood, allowing for genomic profiling to evaluate tumor characteristics and treatment efficacy.

ACS MEASUREMENT SCIENCE AU (2023)

No Data Available