4.5 Article

Reactant and Waste Minimization in Multitarget Sample Preparation on Digital Microfluidic Biochips

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TCAD.2013.2263035

Keywords

Biochip; digital microfluidic biochip (DMFB); dilution; multitarget sample preparation; reactant minimization; waste minimization

Ask authors/readers for more resources

Sample preparation is one of essential processes in biochemical reactions. Raw reactants are diluted in this process to achieve given target concentrations. A bioassay may require several different target concentrations of a reactant. Both the dilution operation count and the reactant usage can be minimized if multiple target concentrations are considered simultaneously during sample preparation. Hence, in this paper, we propose a multitarget sample preparation algorithm that extensively exploits the ideas of waste recycling and intermediate droplet sharing to reduce both reactant usage and waste amount for digital microfluidic biochips. Experimental results show that our waste recycling algorithm can reduce the waste and operation count by 48% and 37%, respectively, as compared to an existing state-of-the-art multitarget sample preparation method if the number of target concentrations is ten. The reduction can be up to 97% and 73% when the number of target concentrations goes even higher.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Article Computer Science, Hardware & Architecture

Area Minimization Synthesis for Reconfigurable Single-Electron Transistor Arrays with Fabrication Constraints

Yi-Hang Chen, Jian-Yu Chen, Juinn-Dar Huang

ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS (2016)

Article Computer Science, Hardware & Architecture

Dilution and Mixing Algorithms for Flow-Based Microfluidic Biochips

Sukanta Bhattacharjee, Sudip Poddar, Sudip Roy, Juinn-Dar Huang, Bhargab B. Bhattacharya

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2017)

Article Computer Science, Hardware & Architecture

Concentration-Resilient Mixture Preparation with Digital Microfluidic Lab-on-Chip

Sukanta Bhattacharjee, Yi-Ling Chen, Juinn-Dar Huang, Bhargab B. Bhattacharya

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2018)

Article Computer Science, Hardware & Architecture

Design Automation for Dilution of a Fluid Using Programmable Microfluidic Device-Based Biochips

Ankur Gupta, Juinn-Dar Huang, Shigeru Yamashita, Sudip Roy

ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

Storage-Aware Algorithms for Dilution and Mixture Preparation With Flow-Based Lab-on-Chip

Sukanta Bhattacharjee, Robert Wille, Juinn-Dar Huang, Bhargab B. Bhattacharya

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Forecast-Based Sample Preparation Algorithm for Unbalanced Splitting Correction on DMFBs

Ling-Yen Song, Yi-Ling Chen, Yung-Chun Lei, Juinn-Dar Huang

2019 IEEE 37TH INTERNATIONAL CONFERENCE ON COMPUTER DESIGN (ICCD 2019) (2019)

Proceedings Paper Computer Science, Hardware & Architecture

Time-Constrained Sample Preparation Algorithm for Reactant Minimization on Digital Microfluidic Biochips

Ling-Yen Song, Yu-Ying Li, Yung-Chun Lei, Juinn-Dar Huang

2019 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI 2019) (2019)

Proceedings Paper Engineering, Electrical & Electronic

Reactant Minimization for Multi-Target Sample Preparation on Digital Microfluidic Biochips using Network Flow Models

Kang-Yi Fan, Shigcru Yamashita, Juinn-Dar Huang

2019 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT) (2019)

Proceedings Paper Engineering, Electrical & Electronic

Versatile Ring-Based Architecture and Synthesis Flow for General-Purpose Digital Microfluidic Biochips

Juinn-Dar Huang, Chia-Hung Liu, Wei-Hao Yang

PROCEEDINGS OF THE 2018 26TH IFIP/IEEE INTERNATIONAL CONFERENCE ON VERY LARGE SCALE INTEGRATION (VLSI-SOC) (2018)

Proceedings Paper Computer Science, Hardware & Architecture

Architecture Exploration and Delay Minimization Synthesis for SET-Based Programmable Gate Arrays

Chia-Cheng Wu, Kung-Han Ho, Juinn-Dar Huang, Chun-Yao Wang

2018 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI) (2018)

Proceedings Paper Computer Science, Hardware & Architecture

Multi-Target Many-Reactant Sample Preparation for Reactant Minimization on Microfluidic Biochips

Yung-Chun Lei, Tien-Kuo Lin, Juinn-Dar Huang

2018 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI) (2018)

Proceedings Paper Automation & Control Systems

Storage-Aware Sample Preparation Using Flow-Based Microfluidic Labs-on-Chip

Sukanta Bhattacharjee, Robert Wille, Juinn-Dar Huang, Bhargab B. Bhattacharya

PROCEEDINGS OF THE 2018 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE) (2018)

Proceedings Paper Engineering, Electrical & Electronic

Defect-Aware Synthesis for Reconfigurable Single-Electron Transistor Arrays

Juinn-Dar Huang, Yi-Hang Chen, Jia-Shin Lu

2017 IFIP/IEEE INTERNATIONAL CONFERENCE ON VERY LARGE SCALE INTEGRATION (VLSI-SOC) (2017)

Proceedings Paper Engineering, Electrical & Electronic

Multi-Objective Sample Preparation Algorithm for Microfluidic Biochips Supporting Various Mixing Models

Yung-Chun Lei, Tung-Hsuan Lin, Juinn-Dar Huang

2016 29TH IEEE INTERNATIONAL SYSTEM-ON-CHIP CONFERENCE (SOCC) (2016)

Proceedings Paper Computer Science, Information Systems

Reactant Cost Minimization through Target Concentration Selection on Microfluidic Biochips

Yung-Chun Lei, Yi-Ling Chen, Juinn-Dar Huang

PROCEEDINGS OF 2016 IEEE BIOMEDICAL CIRCUITS AND SYSTEMS CONFERENCE (BIOCAS) (2016)

No Data Available