4.6 Article Proceedings Paper

A 0.45-1 V Fully-Integrated Distributed Switched Capacitor DC-DC Converter With High Density MIM Capacitor in 22 nm Tri-Gate CMOS

Journal

IEEE JOURNAL OF SOLID-STATE CIRCUITS
Volume 49, Issue 4, Pages 917-927

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/JSSC.2013.2297402

Keywords

CMOS digital power supply; distributed voltage regulators; integrated voltage regulators; many voltage domains; switched capacitor voltage regulator.

Funding

  1. U.S. Government [HR0011-10-3-0007]

Ask authors/readers for more resources

A fully integrated switched capacitor voltage regulator ( SCVR) with on-die high density MIM capacitor, distributed across a 14 KB register file ( RF) load is demonstrated in 22 nm tri-gate CMOS. The multi-conversion-ratio SCVR provides a wide output voltage range of 0.45-1 V from a fixed input voltage of 1.225 V. It achieves 63-84% conversion efficiency and supports a maximum load current density of 0.88 A/mm2. The area overhead of the dedicated SCVR on the load is 3.6%. Measured data is presented on various performance indices in detail. Subsequent learning on tradeoffs between various factors like capacitance characteristics, conversion efficiency and current density are delineated and, correlated with theoretical estimates. Performance of RF array shows comparable results when powered with the SCVR and the external rail. The all-digital, modular design allows efficient spatial distribution across the load and hence robust power delivery. The extremely fast response times in the order of few nanoseconds is targeted to benefit agile power management. This work evinces voltage regulator technology as a standard homogenous CMOS component, which can proliferate DVFS domains for maximum energy and area benefits.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

Article Engineering, Electrical & Electronic

Enabling Wide Autonomous DVFS in a 22 nm Graphics Execution Core Using a Digitally Controlled Fully Integrated Voltage Regulator

Stephen T. Kim, Yi-Chun Shih, Kaushik Mazumdar, Rinkle Jain, Joseph F. Ryan, Carlos Tokunaga, Charles Augustine, Jaydeep P. Kulkarni, Krishnan Ravichandran, James W. Tschanz, Muhammad M. Khellah, Vivek De

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2016)

Editorial Material Computer Science, Hardware & Architecture

Editorial

Krishnendu Chakrabarty

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2017)

Editorial Material Computer Science, Hardware & Architecture

Report on the 2018 IEEE/ACM International Symposium on Low Power Electronics and Design

Jaydeep Kulkarni, Thomas F. Wenisch

IEEE DESIGN & TEST (2018)

Editorial Material Computer Science, Hardware & Architecture

Connectivity! Connectivity! Connectivity! May You BeMore Connected Than Ever!!

Lizy Kurian John

IEEE MICRO (2020)

Proceedings Paper Computer Science, Artificial Intelligence

Trends in Analog and Digital Intensive Compute-in-SRAM Designs

Rishabh Sehgal, Jaydeep P. Kulkarni

Summary: With the unprecedented growth in Deep Neural Networks (DNN) model size, researchers are exploring Compute-In-Memory (CIM) designs using Static Access Random Memory (SRAM) to perform DNN computations within memory arrays in order to mitigate latency and energy overheads. These designs can realize analog or digital domain multiply-and-accumulate (MAC) computations using modulated wordline and bitline voltages and pulse-widths. Key design trends and qualitative comparisons in recent CIM-SRAM designs utilizing analog and digitally-intensive approaches are presented in this paper.

2021 IEEE 3RD INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS) (2021)

Proceedings Paper Engineering, Electrical & Electronic

Compute-in-eDRAM with Backend Integrated Indium Gallium Zinc Oxide Transistors

Siddhartha Raman Sundara Raman, Shanshan Xie, Jaydeep P. Kulkarni

Summary: With the rapid growth in data intensive applications, the demand for energy efficient machine learning/AI hardware accelerators is increasing. IGZO transistors offer promising potential for enhancing CIM performance, supporting 8-bit inputs/activations and 8-bit signed weights. By utilizing 2-bit ADC for MLC weight bit read sensing, the representative neural network model achieved 80% Top-1 inference accuracy on the CIFAR-10 dataset.

2021 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) (2021)

Article Computer Science, Hardware & Architecture

Thermal-Aware Design Space Exploration of 3-D Systolic ML Accelerators

Rahul Mathur, Ajay Krishna Ananda Kumar, Lizy John, Jaydeep P. Kulkarni

Summary: This study explores the design space of 3D systolic accelerators, proposing and evaluating various partitioned accelerator configurations. The results demonstrate that different partitioning methods can significantly reduce latency or energy consumption, and organizing the systolic array and SRAM tiers can limit temperature rises.

IEEE JOURNAL ON EXPLORATORY SOLID-STATE COMPUTATIONAL DEVICES AND CIRCUITS (2021)

Proceedings Paper Engineering, Electrical & Electronic

eDRAM-CIM: Compute-In-Memory Design with Reconfigurable Embedded-Dynamic-Memory Array Realizing Adaptive Data Converters and Charge-Domain Computing

Shanshan Xie, Can Ni, Aseem Sayal, Pulkit Jain, Fatih Hamzaoglu, Jaydeep P. Kulkarni

2021 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC) (2021)

Proceedings Paper Engineering, Electrical & Electronic

Buried Bitline for sub-5nm SRAM Design

R. Mathur, M. Bhargava, S. Salahuddin, P. Schuddinck, J. Ryckaert, S. Annamalai, A. Gupta, Y. K. Chong, S. Sinha, B. Cline, J. P. Kulkarni

2020 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Low Swing and Column Multiplexed Bitline Techniques for Low-Vmin, Noise-Tolerant, High-Density, 1R1W 8T-bitcell SRAM in 10nm FinFET CMOS

J. P. Kulkarni, A. Malavasi, C. Augustine, C. Tokunaga, J. Tschanz, M. M. Khellah, V De

2020 IEEE SYMPOSIUM ON VLSI CIRCUITS (2020)

Proceedings Paper Engineering, Electrical & Electronic

Thermal Analysis of a 3D Stacked High-Performance Commercial Microprocessor using Face-to-Face Wafer Bonding Technology

Rahul Mathur, Chien-Ju Chao, Rossana Liu, Nikhil Tadepalli, Pranavi Chandupatla, Shawn Hung, Xiaoqing Xu, Saurabh Sinha, Jaydeep Kulkarni

2020 IEEE 70TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2020) (2020)

Article Computer Science, Hardware & Architecture

M2A2: Microscale Modular Assembled ASICs for High-Mix, Low-Volume, Heterogeneously Integrated Designs

Aseem Sayal, Paras Ajay, Mark W. McDermott, S. V. Sreenivasan, Jaydeep P. Kulkarni

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Proceedings Paper Engineering, Electrical & Electronic

High density NV-SRAM using memristor and selector as technology assist

S. S. Teja Nibhanupudi, Jaydeep P. Kulkarni

2019 INTERNATIONAL SYMPOSIUM ON VLSI TECHNOLOGY, SYSTEMS AND APPLICATION (VLSI-TSA) (2019)

Proceedings Paper Engineering, Electrical & Electronic

All-Digital Time-Domain CNN Engine Using Bidirectional Memory Delay Lines for Energy-Efficient Edge Computing

Aseem Sayal, Shirin Fathima, S. S. Teja Nibhanupudi, Jaydeep P. Kulkarni

2019 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC) (2019)

Proceedings Paper Computer Science, Artificial Intelligence

Soft-FET: Phase transition material assisted Soft switching Field Effect Transistor for supply voltage droop mitigation

Subrahmanya Teja, Jaydeep P. Kulkarni

2018 55TH ACM/ESDA/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2018)

No Data Available