4.4 Article

Comparison of the electrical and optical properties of direct current and radio frequency sputtered amorphous indium gallium zinc oxide films

期刊

THIN SOLID FILMS
卷 527, 期 -, 页码 21-25

出版社

ELSEVIER SCIENCE SA
DOI: 10.1016/j.tsf.2012.12.035

关键词

Amorphous indium gallium zinc oxide; Direct current sputtering; Radio-frequency sputtering; Oxygen vacancy; Fermi level; Work function

资金

  1. NSFC of China [60876048, 60976041]
  2. Shenzhen Fundamental Research Program [JC200903160351A]

向作者/读者索取更多资源

The electrical and optical properties of direct current and radio frequency (RF) sputtered amorphous indium gallium zinc oxide (a-IGZO) films are compared. It is found that the RF sputtered a-IGZO films have better stoichiometry (In:Ga:Zn: O = 1:1:1:2.5-3.0), lower electrical conductivity (sigma<8 S/cm), higher refractive index (n = 1.9-2.0) and larger band gap (E-g = 3.02-3.29 eV), and show less shift of Fermi level (Delta E-F similar to 0.26 eV) and increased concentration of electrons (Delta N-e similar to 10(4)) in the conduction band with the reduction concentration of oxygen vacancy (V-O). Although a-IGZO has intensively been studied for a semiconductor channel material of thin film transistors in next-generation flat panel displays, its fundamental material parameters have not been thoroughly reported. In this work, the work function (phi) of a-IGZO films is tested with the ultraviolet photoelectron spectroscopy. It is found that the phi of a-IGZO films is in the range of 4.0-5.0 eV depending on the V-O. (C) 2012 Elsevier B. V. All rights reserved.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.4
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Article Materials Science, Multidisciplinary

Monolithic Integration of Perovskite Photoabsorbers with IGZO Thin-Film Transistor Backplane for Phototransistor-Based Image Sensor

Tong Chen, Chunyun Wang, Guoshen Yang, Qiang Lou, Qingping Lin, Shengdong Zhang, Hang Zhou

Summary: This paper introduces a polyimide assisted patterning approach for monolithic integration of perovskite based high-sensitive phototransistor array on IGZO backplane. By using this technique, high-performance phototransistors with high responsivity and detectivity can be fabricated. In addition, an image sensor containing photosensing perovskite/IGZO transistors and switching IGZO transistors is demonstrated.

ADVANCED MATERIALS TECHNOLOGIES (2023)

Article Nanoscience & Nanotechnology

Synergistically Enhanced Performance and Reliability of Abrupt Metal-Oxide Heterojunction Transistor

Pengfei Wang, Huan Yang, Jiye Li, Xiaohui Zhang, Lei Wang, Juncheng Xiao, Bin Zhao, Shengdong Zhang, Lei Lu

Summary: The large-area low-temperature processing capability and versatile characteristics of amorphous oxide semiconductor (AOS) thin-film transistors (TFTs) are highly expected to promote the developments of next-generation displays and electronics. However, the abundance of defects in AOSs limits the performance and integration scale. To overcome this challenge, a bilayer AOS channel with an abrupt metal-oxide heterojunction is proposed to enhance the performance and reliability of AOS TFTs.

ADVANCED ELECTRONIC MATERIALS (2023)

Article Nanoscience & Nanotechnology

Near-Ideal Top-Gate Controllability of InGaZnO Thin-Film Transistors by Suppressing Interface Defects with an Ultrathin Atomic Layer Deposited Gate Insulator

Jiye Li, Yuqing Zhang, Jialiang Wang, Huan Yang, Xiaoliang Zhou, Mansun Chan, Xinwei Wang, Lei Lu, Shengdong Zhang

Summary: Researchers successfully eliminated the defective interface in amorphous InGaZnO (a-IGZO) thin-film transistors by preoxidizing a-IGZO with nitrous oxide (N2O) plasma, achieving high performance and stability. This study is of great significance for addressing the interface reaction issue between high-k dielectrics and amorphous oxide semiconductors (AOSs) in next-generation thin-film transistors.

ACS APPLIED MATERIALS & INTERFACES (2023)

Article Engineering, Electrical & Electronic

Sub-100 nm Self-Aligned Top-Gate Amorphous InGaZnO Thin-Film Transistors With Gate Insulator of 4 nm Atomic-Layer-Deposited AlOx

Yuqing Zhang, Jiye Li, Jinxiong Li, Tengyan Huang, Yuhang Guan, Yuhan Zhang, Huan Yang, Mansun Chan, Xinwei Wang, Lei Lu, Shengdong Zhang

Summary: Here we present a self-aligned top gate (SATG) coplanar amorphous InGaZnO (a-IGZO) thin film transistor (TFT) technology with a down-scaled gate length (Lg) of 97 nm and a gate insulator (GI) AlOx of 4 nm (equivalent oxide thickness = 2.4 nm). The fabricated TFT exhibits excellent performance, including a large on-current (ION) of 17.9 mu A/mu m, a high on/off current ratio over 10^(9), a positive threshold voltage (V-TH) of 0.07 V, and a minimum drain-induced barrier lowering (DIBL) of 77 mV/V. These results are attributed to the abrupt homojunction at the source-drain sides and the high-quality ultrathin gate insulator of AlOx by atomic layer deposition (ALD). With the compatibility with modern integrated circuit (IC) process, the developed SATG a-IGZO TFT technology is suitable for back-end-of-line (BEOL) and 3D integrations of advanced ICs.

IEEE ELECTRON DEVICE LETTERS (2023)

Article Chemistry, Multidisciplinary

Effect of terminal fluorine substitution of a nonfullerene small molecular acceptor on the thermal stability of organic solar cells

Guang Zeng, Hanming Li, Fang Tan, Yue Xin, Shengdong Zhang

Summary: The addition of fluorine atoms to nonfullerene small molecule acceptors (NFSMAs) is an effective method to improve device efficiency. However, the thermal stability of the device may be affected. In this study, the influence of terminal fluorine substitution on the thermal stability of organic solar cells (OSCs) was investigated. It was found that the introduction of fluorine atoms improved the efficiency but resulted in poor compatibility and decreased thermal stability when combined with a specific polymer donor.

NEW JOURNAL OF CHEMISTRY (2023)

Article Engineering, Electrical & Electronic

Enhanced electrical stability of thin film transistors based on nanocrystalline silicon films

Hongyuan Xu, Guangmiao Wan, Jiaying Mai, Zhixiong Jiang, Bin Liu, Shengdong Zhang

Summary: Nanocrystalline silicon (nc-Si) films were successfully obtained through plasma enhanced chemical vapor deposition (PECVD) method. The effects of PECVD process parameters on the crystallization rate of nc-Si films were comprehensively studied, resulting in an optimized nc-Si film with a crystallinity of 50.87%. Furthermore, the electrical properties and stability of nc-Si TFTs were compared with a-Si TFTs, showing better photo stability of nc-Si TFTs due to fewer defect states in nc-Si films.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2023)

Article Nanoscience & Nanotechnology

Controllable assessment of quantum rods with polarized emission for display applications

Lixuan Chen, Jinyang Zhao, Zhiqing Shi, Miao Zhou, Shengdong Zhang, Xiao Wei Sun, Xin Zhang

Summary: Semiconductor quantum rods (QRs) emit polarized light and have great potential for energy-efficient and color-enhancing modern display devices. We present the stretching of an aligned QR polarized film to improve brightness and optical efficiency in quantum-dot based displays. The study of QR material, stretching ratio, and alignment degree guides the fabrication of highly polarized QR film. A large-area film with an alignment degree of 0.635 achieved more than 1.6-fold enhancement in brightness and transmittance compared to traditional structures, making it a viable option for various energy-saving display devices.

NANO FUTURES (2023)

Article Engineering, Electrical & Electronic

Gate Driver on Array With Multiple Outputs and Variable Pulse Widths for Low-Temperature Polysilicon and Oxide (LTPO) TFTs Driven AMOLED Displays

Junjun An, Congwei Liao, Yuxuan Zhu, Xin Zheng, Chao Dai, Xin Zhang, Shengdong Zhang

Summary: This study presents a gate driver on array (GOA) circuit for low-temperature polysilicon and oxide thin-film transistor hybrid-driven active matrix organic light-emitting diode (AMOLED) displays, capable of both high and low refresh rate operation. The GOA utilizes shift registers to generate multiple types of scanning signals, allowing for parallel output of three signal pulses within a single stage to drive various TFTs in the AMOLED pixels. This approach reduces the layout area by 30%. Additionally, the scan signals for the emission TFT can be programmed by adjusting the number of input pulses, enabling different refresh rates. The fabricated GOAs demonstrate reliable performance even with a reduced pulse width of 2.9 μs.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2023)

Article Chemistry, Physical

Multiple effects of hydrogen on InGaZnO thin-film transistor and the hydrogenation-resistibility enhancement

Wengao Pan, Yunping Wang, Yanxin Wang, Zhihe Xia, Fion Sze Yan Yeung, Man Wong, Hoi Sing Kwok, Xinwei Wang, Shengdong Zhang, Lei Lu

Summary: In this work, the multiple effects of hydrogen (H) doping on amorphous InGaZnO (IGZO) TFTs were investigated. The H content influenced the electrical performances of the TFTs, acting as a defect suppressor, donor defect, transition state, and finally an acceptor defect. The oxygen vacancy (Vo) in IGZO determined the diffusion channel of the H dopant and its concentration. Additionally, fluorine (F) doping improved the hydrogen resistibility of IGZO.

JOURNAL OF ALLOYS AND COMPOUNDS (2023)

Article Engineering, Electrical & Electronic

The effect of the crystallization of indium tin oxide on indium tin oxide wet etching based on gate line with the structure of copper/indium tin oxide

Juncheng Xiao, Guang Zeng, Ji Li, Shengdong Zhang

Summary: This study simulated and studied the effect of crystallization on the etching properties of ITO film by annealing ITO films prepared by RF magnetron sputtering. It was found that when the annealing temperature was less than 200 degrees C, the ITO films could be easily etched, but when annealed at higher temperatures, the polycrystalline ITO films became difficult to be removed by etchant due to the formation of large amount of Sn4+ on the surface.

JOURNAL OF THE SOCIETY FOR INFORMATION DISPLAY (2023)

Article Nanoscience & Nanotechnology

Ultra-thin gate insulator of atomic-layer-deposited AlOx and HfOx for amorphous InGaZnO thin-film transistors

Jiye Li, Yuhang Guan, Jinxiong Li, Yuqing Zhang, Yuhan Zhang, ManSun Chan, Xinwei Wang, Lei Lu, Shengdong Zhang

Summary: To enhance the downscaling potential of top-gate amorphous oxide semiconductor (AOS) thin-film transistors (TFTs), we implemented the ultra-thin gate insulator (GI) using atomic-layer-deposited (ALD) AlOx and HfOx. Both high-k GIs demonstrated good insulation properties even with a physical thickness of 4 nm. However, the HfOx-gated TFT showed higher gate leakage current and poorer subthreshold slope due to the small band offset and defective interface between a-IGZO and HfOx. The imperfect a-IGZO/HfOx interface also caused noticeable positive bias stress instability.

NANOTECHNOLOGY (2023)

Article Engineering, Electrical & Electronic

Comparative study of the exponential-trap-based and the mobility-based space-charge-limited current models for organic diode modeling*

Hongyu He, Xinnan Lin, Shengdong Zhang

Summary: This article presents a current model for forward-biased organic diodes. The diode current consists of space-charge-limited current and Ohmic current, which are analyzed using two classical space-charge-limited current models. The similarity and difference between these models are clarified, and it is found that the exponential-trap-based model is suitable. Additionally, an Ohmic current model considering temperature and thickness dependence is presented. The diode current model is achieved by combining the exponential-trap-based space-charge-limited current model with the Ohmic current model, which is analytical and suitable for circuit simulation.

SOLID-STATE ELECTRONICS (2023)

Article Chemistry, Physical

Ultra-thin top-gate insulator of atomic-layer-deposited HfOx for amorphous InGaZnO thin-film transistors

Yuhang Guan, Yuqing Zhang, Jinxiong Li, Jiye Li, Yuhan Zhang, Zhenhui Wang, Yuancan Ding, Mansun Chan, Xinwei Wang, Lei Lu, Shengdong Zhang

Summary: In recent years, high-k gate dielectrics have received increasing attention in amorphous oxide semiconductor (AOS) thin-film transistors (TFTs) due to the need for stronger gate controllability. This study developed an ultra-thin top-gate insulator of atomic-layer-deposited (ALD) HfOx for amorphous indium-gallium-zinc oxide (a-IGZO) TFTs. However, the reliability of the 4-nm HfOx-gated a-IGZO transistor is poor due to interface defects caused by the interface reaction between HfOx and a-IGZO during the ALD process. To improve stability, the a-IGZO channel is pre-treated with strong oxidizing plasma. However, further reducing HfOx thickness increases gate leakage current.

APPLIED SURFACE SCIENCE (2023)

Article Chemistry, Multidisciplinary

A narrow band gap non-fullerene electron acceptor based on a dithieno-3,2-b:2′,3′-dlpyrrole unit for high performance organic solar cells with minimal highest occupied molecular orbital offset

Guang Zeng, Hanming Li, Fang Tan, Yue Xin, Shengdong Zhang

Summary: Here, a new narrow band gap non-fullerene small molecular acceptor (NFSMA) named SNIC-F, based on a DTP unit, was synthesized. When paired with PBTIBDTT, the device showed a high J(sc) of 19.64 mA cm(-2) and a high V-oc of 0.83 V, resulting in a PCE of 11.25%. The study suggests that blending a narrow band gap NFSMA-based DTP unit with a polymer donor with small HOMO offset is an efficient strategy for achieving high performance OSCs.

RSC ADVANCES (2023)

Article Engineering, Electrical & Electronic

Surface-Potential-Based Drain Current Model for Ambipolar Organic TFTs

Hongyu He, Junli Yin, Xinnan Lin, Shengdong Zhang

Summary: A surface-potential-based drain current model is developed for ambipolar organic thin-film transistors (OTFTs), which considers the multiple trapping and release (MTR) conduction mechanism and the exponentially distributed trap state density in the energy gap of the organic semiconductor. By analyzing electrons or holes in different regimes based on the model for unipolar OTFTs, the model for ambipolar OTFTs is proposed. The presented model provides compact expressions for the drain current and allows estimation of trap state density, and it is verified against experimental data considering temperature characteristics.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2023)

暂无数据