4.8 Article

Controlling the Al-Doping Profile and Accompanying Electrical Properties of Rutile-Phased TiO2 Thin Films

期刊

ACS APPLIED MATERIALS & INTERFACES
卷 6, 期 10, 页码 7910-7917

出版社

AMER CHEMICAL SOC
DOI: 10.1021/am501247u

关键词

TiO2; Al-doped TiO2; leakage current; Schottky emission; conduction band offset; oxygen vacancy

资金

  1. Future Semiconductor Device Technology Development Program - Ministry of Trade, Industry & Energy of Korea [10047231]
  2. Korea Semiconductor Research Consortium
  3. Converging Research Center Program of the National Research Foundation of Korea - Ministry of Education, Science, and Technology of Korea [2012K001299]
  4. National Research Foundation of Korea - Korean Government (NRF)

向作者/读者索取更多资源

The role of Al dopant in rutile-phased TiO2 films in the evaluation of the mechanism of leakage current reduction in Al-doped TiO2 (ATO) was studied in detail. The leakage current of the ATO film was strongly affected by the Al concentration at the interface between the ATO film and the RuO2 electrode. The conduction band offset of the interface increased with the increase in the Al dopant concentration in the rutile TiO2, which reduced the leakage current in the voltage region pertinent to the next-generation dynamic random access memory application. However, the Al doping in the anatase TiO2 did not notably increase the conduction band offset even with a higher Al concentration. The detailed analyses of the leakage conduction mechanism based on the quantum mechanical transfer-matrix method showed that Schottky emission and Fowler-Nordheim tunneling was the dominant leakage conduction mechanism in the lower and higher voltage regions, respectively. The chemical analyses using X-ray photoelectron spectroscopy corroborated the electrical test results.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.8
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Article Materials Science, Multidisciplinary

Achieving High Dielectric Constants in Tetragonal Single-Phase ZrHfO2 Thin Films through the Atomic Layer Deposition Process Using a Mixed Precursor

Jenam Kim, Young Uk Ryu, Ae Jin Lee, Ye Won Kim, Ji Hyeon Hwang, Youngjin Kim, Hansol Oh, YongJoo Park, Woojin Jeon

Summary: This study investigates the deposition of homogeneous ZrxHf(1-x)O2 thin films using a mixed precursor mixture by atomic layer deposition (ALD) and explores their electrical properties. It is found that the mixed structures of ZrO2/HfO2 thin films deposited by ALD remain inhomogeneous even after annealing, resulting in part of the HfO2 remaining in the monoclinic phase and reducing the dielectric constant. The study also discovers that ZrxHf1-xO2 thin films prepared using CpZr and CpHf precursors exhibit enhanced electrical properties.

PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE (2022)

Article Nanoscience & Nanotechnology

Room temperature annealing of SnS2 films with electron impulse force

Nahid Sultan Al-Mamun, Douglas E. Wolfe, Aman Haque, Jae-Gyun Yim, Seong Keun Kim

Summary: A room temperature annealing method using electron impulse force is demonstrated to significantly improve the crystallinity and reduce the resistivity of tin disulfide, which is important for post-synthesis annealing applications that require high temperature and special environments.

SCRIPTA MATERIALIA (2023)

Article Nanoscience & Nanotechnology

Area-Selective Atomic Layer Deposition of SnS2 Nanosheets for Applications of Back-End-of-Line-Compatible Transistors

Jaegyun Yim, Hong Keun Chung, Seung Ho Ryu, Han Kim, Sung Ok Won, Taeyong Eom, Taek-Mo Chung, Seong Keun Kim

Summary: This study demonstrates the area-selective atomic layer deposition (ALD) of SnS2 on SiO2 and Al2O3 surfaces. By optimizing the super-cycle conditions, selective SnS2 deposition of approximately 7 nm thickness was achieved on both SiO2 and Al2O3. This method contributes to the improvement of area-selective deposition technology for BEOL-compatible transistors.

ACS APPLIED NANO MATERIALS (2023)

Article Physics, Applied

Memory window enhancement in n-type ferroelectric field-effect transistors by engineering ozone exposure in atomic layer deposition of HfZrOx films

Jihoon Jeon, Song-Hyeon Kuk, Ah-Jin Cho, Seung-Hyub Baek, Sang-Hyeon Kim, Seong Keun Kim

Summary: We have fabricated n-type ferroelectric field-effect transistors (FeFETs) using atomic-layer-deposited HfZrOx (HZO) films with a large memory window (MW) immediately after the write operation. Charge trapping at the HZO/Si interface in FeFETs is identified as the main cause of memory window reduction. By controlling the properties of the interfacial layer through varying the O-3 injection time during atomic layer deposition, the FeFETs based on HZO (long O-3 of 7 s) exhibit a larger MW (2.1 V) compared to the FeFETs based on HZO (short O-3 of 0.3 s) (0.9 V). Pulsed I-V measurements confirm that the FeFETs based on HZO (long O-3 of 7 s) show a large MW of 1.0 V with an extremely short delay time of 100 ns between pulses. The improvement in the performance of HZO-based FeFETs suggests a reduction in trap density in the interfacial layer by using a long O-3 injection time.

APPLIED PHYSICS LETTERS (2023)

Article Engineering, Electrical & Electronic

Wake-Up and Endurance Characteristics in Hf0.5Zr0.5O2-Based Metal-Ferroelectric-Metal Capacitor Depending on the Crystal Orientation of the TiN Bottom Electrodes

Dong Hee Han, Ae Jin Lee, Min Kyeong Nam, Seungwoo Lee, Su Jin Choi, Youngjin Kim, Taehwan Moon, Woojin Jeon

Summary: The ferroelectric properties of Hf0.5Zr0.5O2(HZO) were investigated based on the preferred orientations of the titanium nitride (TiN) bottom electrode (BE). (111) and (200)-oriented TiN were used as BEs. The difference in crystallinity of HZO was observed and its electrical properties were compared. Through various crystal structure analyses and electrical measurements, it was found that the HZO thin film grown on TiN(200) had more ferroelectric non-centrosymmetric orthorhombic phase in the pristine state due to the local epitaxial relation between TiN(200) and HZO.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2023)

Article Chemistry, Physical

Study of the relationship between process parameters, volatility of Te, and physical properties in n-type Bi2Te3-based alloys for the reproducible fabrication of high-performance thermoelectric materials

Sung-Jin Jung, Sang-Soon Lim, Byeong-Hyeon Lee, Sung Ok Won, Hyung-Ho Park, Seong Keun Kim, Jin-Sang Kim, Seung-Hyub Baek

Summary: Solid compounds with volatile elements often deviate from stoichiometric composition during thermal processes, leading to varied properties. Understanding the effect of process parameters on element volatility and how physical properties change with altered stoichiometry is crucial.

JOURNAL OF ALLOYS AND COMPOUNDS (2023)

Article Engineering, Electrical & Electronic

High Field-Effect Mobility and On/Off Current Ratio of p-Type ALD SnO Thin-Film Transistor

Myeong Gil Chae, Jina Kim, Hee Won Jang, Bo Keun Park, Taek-Mo Chung, Seong Keun Kim, Jeong Hwan Han

Summary: High-performance p-type thin-film transistors (TFTs) with high field-effect mobility and high on/off current ratios (Ion/Ioff) were achieved by engineering the microstructure and surface morphology of the atomic layer-deposited (ALD) SnO channel layer. ALD SnO films grown at 225 degrees C exhibited excellent crystallinity and dense, smooth surfaces, resulting in superior field-effect mobility of 6.13-7.24 cm2/V·s without requiring high-temperature post-annealing. Optimization of the SnO channel thickness suppressed off-state leakage current and yielded excellent TFT switching performance with an Ion/Ioff value of 104-105. ALD Al2O3 film backchannel passivation improved subthreshold swing characteristics by reducing surface defect states, highlighting the importance of synergistic control of microstructure, surface morphology, and thickness in ALD SnO channels for high-performance p-type SnO TFTs.

ACS APPLIED ELECTRONIC MATERIALS (2023)

Article Physics, Applied

Controlled orientation and microstructure of p-type SnO thin film transistors with high-k dielectric for improved performance

Seung Ho Ryu, Jihoon Jeon, Gwang Min Park, Taikyu Kim, Taeyong Eom, Taek-Mo Chung, In-Hwan Baek, Seong Keun Kim

Summary: In this study, the researchers enhanced the performance of p-type SnO thin-film transistors (TFTs) by using an atomic-layer-deposited SnO/high-k structure with crystalline HfO2 as a high-k dielectric. The introduction of an ultrathin Al2O3 layer on the surface of c-HfO2 effectively eliminated grain boundaries and improved the electrical performance of the TFTs. This finding suggests the potential of combining van der Waals semiconductors with high-k dielectrics for advanced device applications.

APPLIED PHYSICS LETTERS (2023)

Article Materials Science, Ceramics

Grain boundary engineering strategy for simultaneously reducing the electron concentration and lattice thermal conductivity in n-type Bi2Te2.7Se0.3-based thermoelectric materials

Seunghyeok Lee, Sung-Jin Jung, Gwang Min Park, Junpyo Hong, Albert S. Lee, Seung-Hyub Baek, Heesuk Kim, Tae Joo Park, Jin-Sang Kim, Seong Keun Kim

Summary: This study demonstrates the use of atomic layer deposition (ALD) to create a thin Al2O3 layer on n-type Bi2Te2.7Se0.3, which helps improve their thermoelectric performance by reducing the adverse effects of multiple boundaries. The ALD cycle effectively suppresses volatilization and reduces the electron concentration while inducing numerous boundaries to decrease thermal conductivity. However, increasing the number of ALD cycles leads to a rise in resistance and degradation of performance. Overall, the ZT value is increased by 51% with a single ALD cycle.

JOURNAL OF THE EUROPEAN CERAMIC SOCIETY (2023)

Article Nanoscience & Nanotechnology

Controllable Layer-By-Layer CdSe/ZnS Quantum-Dot Thin Films for Enhanced Performance of Light-Emitting Diodes and Photodetectors

Min Gye Kim, Nam-Kwang Cho, Jin Hyun Ma, Min Ho Park, Jeong Hyeon Park, Woojin Jeon, Seong Jun Kang

Summary: We have developed a promising deposition method for fabricating controllable layer-by-layer (LbL) quantum-dot (QD) structures. By utilizing a spray coating method, we were able to induce desired properties of QD thin films and control the thickness of QD layers. The application of an intermediate heat treatment between spray pass cycles successfully prevented cluster formation on the spray-coated QD films, resulting in the LbL structure of Sr-QDs with fewer surface defects and improved crystallinity, ultimately leading to enhanced performance of optoelectronic devices.

ACS APPLIED NANO MATERIALS (2023)

Article Engineering, Electrical & Electronic

Molybdenum Thin Film Formation from Molybdenum Nitride Deposited by Plasma-enhanced Atomic Layer Deposition with Hydrogen-permeable Mechanical Capping Layer

Jeong Hyeon Park, Ye Won Kim, Myeong Ho Kim, Jin-Sik Kim, Woojin Jeon

Summary: In this study, we developed a Mometal thin film deposition process using two steps: Mo2N thin film deposition through plasma-enhanced atomic layer deposition, followed by rapid thermal annealing. The mechanism of Mo2N reduction during post-deposition annealing was investigated. Agglomeration during the reduction process was successfully prevented by employing a hydrogen-permeable mechanical capping layer. Eventually, a low-resistance Mo thin film formation process was achieved, even at a thickness of 5 nm.

ACS APPLIED ELECTRONIC MATERIALS (2023)

Article Engineering, Electrical & Electronic

Boosting the Visible Light Optoelectronic Synaptic Characteristics of Solution-Processed IGZO Transistors via Vertically Diffused Cd Dopants

Jun Hyung Jeong, Min Ho Park, Hanseok Jeong, Wonsik Kim, Soohyung Park, Woojin Jeon, Seong Jun Kang

Summary: Inspired by the human visual system, optoelectronic synaptic transistors have gained attention as promising candidates for next-generation neuromorphic computing systems. In order to achieve low-cost, mass production, devices need to be fabricated through a solution process. Researchers have successfully improved the photoresponse characteristics and electrical characteristics of optoelectronic synaptic transistors by introducing an indium gallium zinc oxide-based transistor doped with cadmium.

ACS APPLIED ELECTRONIC MATERIALS (2023)

Article Materials Science, Multidisciplinary

Enhancing chemisorption efficiency and thin-film characteristics via a discrete feeding method in high-k dielectric atomic layer deposition for preventing interfacial layer formation

Ae Jin Lee, Seungwoo Lee, Dong Hee Han, Youngjin Kim, Woojin Jeon

Summary: In this study, the discrete feeding method (DFM) in atomic layer deposition (ALD) was used to prevent interfacial layer formation between electrodes and insulators. The results showed that DFM significantly improved precursors' chemisorption efficiency, growth rate, and reduced deposition time in ALD. By applying DFM to the deposition of ZrO2, interfacial layer formation was prevented. These findings provide new insights into interfacial layer formation and have implications for the development of electronic devices.

JOURNAL OF MATERIALS CHEMISTRY C (2023)

Article Materials Science, Multidisciplinary

Reliable high work-function molybdenum dioxide synthesis via template-effect-utilizing atomic layer deposition for next-generation electrode applications

Ye Won Kim, Ae Jin Lee, Dong Hee Han, Dae Cheol Lee, Ji Hyeon Hwang, Youngjin Kim, Songyi Moon, Taewon Youn, Minyung Lee, Woojin Jeon

Summary: In this study, an atomic layer deposition (ALD) process was developed to produce highly crystalline and high work function MoO2 thin films. The mechanism of stabilizing and crystallizing the metastable MoO2 phase by the template effect was revealed. The template effect not only controlled the crystallinity but also the stoichiometry of the deposited thin film. A rutile TiO2 thin film with a high dielectric constant of 150 was obtained by employing MoO2.

JOURNAL OF MATERIALS CHEMISTRY C (2022)

Article Materials Science, Multidisciplinary

An Al-doped TiO2 interfacial layer for effective hole injection characteristics of quantum-dot light-emitting diodes

Min Gye Kim, Jae Seung Shin, Jin Hyun Ma, Jun Hyung Jeong, Dong Hee Han, Beom-Su Kim, Woojin Jeon, Yongsup Park, Seong Jun Kang

Summary: This paper presents the use of an Al-doped TiO2 (ATO) interfacial layer to improve the charge balance in QLEDs, resulting in enhanced luminance and efficiency. The study demonstrates that a better interfacial energy level alignment can be achieved by increasing the number of oxygen vacancies and titanium defect sites. With the optimized ATO interfacial layer, QLEDs exhibit improved luminance, current efficiency, and device lifetime.

JOURNAL OF MATERIALS CHEMISTRY C (2022)

暂无数据