4.4 Article Proceedings Paper

White top-emitting organic light-emitting diodes using one-emissive layer of the DCJTB doped DPVBi layer

期刊

THIN SOLID FILMS
卷 516, 期 11, 页码 3590-3594

出版社

ELSEVIER SCIENCE SA
DOI: 10.1016/j.tsf.2007.08.078

关键词

WOLED; two-wavelength light; microcavity; refractive index matching

向作者/读者索取更多资源

White top-emitting organic light-emitting diodes (TEOLEDs) composed of one doped emissive layer which emits two-wavelength light though the radiative recombination were fabricated. As the emissive layer, 4,4-bis(2,2-diphenylethen-1-yl)biphenyl (DPVBi) was used as the host material and 4-(dicyanomethylene)-2-tert-butyl-6-(1,1,7,7-tetramethyljulolidyl-9-enyl)-4H-pyran (DCJTB) was added as the dopant material. By optimizing the DCJTB concentration (1.2%) and the thickness of the DPVBi layer (30 nm), the intensity ratio of the two wavelengths could be adjusted for balanced white light emission. By using the device composed of glass/Ag (100 nm)/ITO (90 nm)/2-TNATA (60 nm)NPB (15 nm)/ DPVBi:DCJTB (1.2%, 30 nm)/Alq(3) (20 nm)Li (1.0 nm)/Al (2.0 nm)/Ag (20 =)/ITO (63 nm)/SiO2 (42 nm), the Commission Internationale d'Eclairage (CIE) chromaticity coordinate of (0.32, 0.34) close to the ideal white color CIE coordinate could be obtained at 100 cd/m(2). (c) 2007 Elsevier B.V. All rights reserved.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.4
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Article Chemistry, Physical

Radical flux control in reactive ion beam etching (RIBE) by dual exhaust system

Doo San Kim, Yun Jong Jang, Ye Eun Kim, Hong Seong Gil, Hee Ju Kim, You Jin Ji, Hyung Yong Kim, In Ho Kim, Myoung Kwan Chae, Jong Chul Park, Geun Young Yeom

Summary: In this study, a dual exhaust system was implemented in a reactive ion beam etcher (RIBE) to control the radical flux relative to ion flux during the etching process. The additional exhausting of radicals through the inductively coupled plasma (ICP) source chamber decreased both the ICP source chamber pressure and the ratio of radical flux to ion flux. This control of the radical flux is believed to be beneficial for anisotropic etching of nanoscale features in the next generation RIBE.

APPLIED SURFACE SCIENCE (2022)

Article Chemistry, Analytical

Deposition of Very-Low-Hydrogen-Containing Silicon at a Low Temperature Using Very-High-Frequency (162 MHz) SiH4 Plasma

Ki Seok Kim, You-Jin Ji, Ki-Hyun Kim, Ji-Eun Kang, Albert Rogers Ellingboe, Geun Young Yeom

Summary: Low-hydrogen-containing amorphous silicon (a-Si) was successfully deposited at a low temperature using a 162 MHz VHF plasma system. The use of smaller multi-split electrodes resulted in a high ion density plasma, leading to a high deposition rate and uniformity. Increasing the RF power reduced the hydrogen content in the deposited silicon film. The a-Si could be crystallized through UV irradiation without the need for dehydrogenation. Transmission electron microscopy revealed that the a-Si deposited by the VHF plasma system had a nanocrystalline-like structure, which could be significantly increased in size with UV irradiation.

MICROMACHINES (2022)

Article Multidisciplinary Sciences

Selective etching of silicon nitride over silicon oxide using CIF3/H2 remote plasma

Won Oh Lee, Ki Hyun Kim, Doo San Kim, You Jin Ji, Ji Eun Kang, Hyun Woo Tak, Jin Woo Park, Han Dock Song, Ki Seok Kim, Byeong Ok Cho, Young Lae Kim, Geun Young Yeom

Summary: This study investigates fast and selective isotropic etching of SiNx over SiOy using CIF3/H-2 remote plasma in an inductively coupled plasma system. The addition of H-2 increases etching selectivity and reduces the etch rate of both oxide and nitride.

SCIENTIFIC REPORTS (2022)

Article Nanoscience & Nanotechnology

Atomic layer-by-layer etching of graphene directly grown on SrTiO3 substrates for high-yield remote epitaxy and lift-off

Ki Seok Kim, Ji Eun Kang, Peng Chen, Sungkyu Kim, Jongho Ji, Geun Young Yeom, Jeehwan Kim, Hyun S. Kum

Summary: Epitaxial lift-off techniques are important for the fabrication of lightweight and flexible devices. This study presents a new approach, using direct growth of thick graphene on the target substrate followed by atomic layer etching, to improve the yield and quality of remote epitaxy.

APL MATERIALS (2022)

Article Chemistry, Multidisciplinary

A Van Der Waals Reconfigurable Multi-Valued Logic Device and Circuit Based on Tunable Negative-Differential-Resistance Phenomenon

Seunghwan Seo, Jeong-Ick Cho, Kil-Su Jung, Maksim Andreev, Ju-Hee Lee, Hogeun Ahn, Sooyoung Jung, Taeran Lee, Byeongchan Kim, Seojoo Lee, Juncheol Kang, Kyeong-Bae Lee, Ho-Jun Lee, Ki Seok Kim, Geun Young Yeom, Keun Heo, Jin-Hong Park

Summary: Multi-valued logic (MVL) technology has been reconsidered due to the need for greater power saving in current binary logic systems. This study reports a reconfigurable m-NDR device with electric-field-induced tunability of multiple threshold voltages. The m-NDR phenomenon is achieved by modulating the resistance of the ReS2 layer using electrical pulses. The reconfigurability of the device is demonstrated in MVL circuits composed of the m-NDR device and a load transistor.

ADVANCED MATERIALS (2022)

Article Chemistry, Physical

Effect of different pulse modes during Cl2/Ar inductively coupled plasma etching on the characteristics of nanoscale silicon trench formation

Hee Ju Kim, Long Wen, Doo San Kim, Ki Hyun Kim, Jong Woo Hong, Won Jun Chang, Soo Namgoong, Dong Woo Kim, Geun Young Yeom

Summary: The etch characteristics of silicon trenches masked with various SiO2/Si3N4 pattern distances were investigated using different pulse modes in Ar/Cl-2 inductively coupled plasmas. The results showed that using synchronously and asynchronously pulse modes instead of continuous wave (CW) mode increased the selectivity between Si and the mask layer and reduced the etch rate differences between wide and narrow pattern distance patterns (ARDE). The improvements in etch selectivity and reduction of ARDE were attributed to the increased conduction of Cl radicals/byproducts and time separated etch cycle composed of Cl chemical adsorption and removal of chemisorbed species.

APPLIED SURFACE SCIENCE (2022)

Article Chemistry, Physical

Effect of hydrofluorocarbon structure of C3H2F6 isomers on high aspect ratio etching of silicon oxide

Hyun Woo Tak, Hye Joo Lee, Long Wen, Byung Jin Kang, Dain Sung, Jeong Woon Bae, Dong Woo Kim, Wonseok Lee, Seung Bae Lee, Keunsuk Kim, Byeong Ok Cho, Young Lea Kim, Han Dock Song, Geun Young Yeom

Summary: In this study, the effects of chemical branch structure on plasma characteristics and etch characteristics of high aspect ratio ACL patterned SiO2 were investigated using three isomers with the same chemical composition. The results showed that the chemical branch structure significantly influenced the plasma properties and etch characteristics, even with the same chemical composition.

APPLIED SURFACE SCIENCE (2022)

Article Nanoscience & Nanotechnology

Atomic layer etching of Sn by surface modification with H and Cl radicals

Doo San Kim, Yun Jong Jang, Ye Eun Kim, Hong Seong Gil, Byeong Hwa Jeong, Geun Young Yeom

Summary: In this study, atomic layer etching (ALE) process was used to precisely etch Sn for the fabrication of next generation extreme ultraviolet (EUV) mask. Optimized ALE conditions were identified to control the etch thickness of Sn and achieve high etch selectivity over the capping layer Ru, with minimal physical and chemical damage.

NANOTECHNOLOGY (2023)

Article Chemistry, Physical

Low temperature silicon nitride grown by very high frequency (VHF, 162MHz) plasma enhanced atomic layer deposition with floating multi-tile electrode

You Jin Ji, Hae In Kim, Ki Hyun Kim, Ji Eun Kang, Doo San Kim, Ki Seok Kim, A. R. Ellingboe, Dong Woo Kim, Geun Young Yeom

Summary: In this study, the characteristics of PEALD SiNx films deposited at low temperatures were investigated. The use of a floating multi-tile electrode resulted in films with higher growth rate, higher N/Si ratio, lower surface roughness, and higher conformality. The electrical properties of the SiNx films deposited with the floating multi-tile electrode also showed improvement.

SURFACES AND INTERFACES (2022)

Article Materials Science, Coatings & Films

Study on etch characteristics of magnetic tunnel junction materials using rf-biased H2/NH3 reactive ion beam

Ye Eun Kim, Doo San Kim, Yun Jong Jang, Hong Seong Gil, Ho Seop Jeon, Jong Woo Hong, In Ho Kim, Cheol Kim, Jeong-Heon Park, Geun Young Yeom

Summary: Rf-biased reactive ion beam etching (RIBE) with a H-2:NH3 gas mixture was used to etch CoFeB and MgO in the magnetic tunnel junction (MTJ) layer of magnetic random access memory. The etch selectivity of MTJ materials was increased with H-2 percentage in the gas mixture, and the etch rates were highest between 2:1 and 1:1 ratio of H-2:NH3 due to the synergy effect of H-2 and NH3. High rf-biasing during RIBE increased etch rates but decreased etch selectivities, while small rf-biasing improved etch characteristics such as higher selectivity, improved anisotropy, and thinner sidewall residue. The addition of small rf-biasing increased chemical etching effect without increasing physical sputtering effect, and also eliminated substrate charging.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2023)

Article Engineering, Electrical & Electronic

Indium tin oxide etch characteristics using CxH2x+2(x=1,2,3)/Ar

Jong Woo Hong, Hyun Min Cho, Yu Gwang Jeong, Da Woon Jung, Yun Jong Yeo, Ji Eun Kang, Hee Ju Kim, Hyun Woo Tak, Geun Young Yeom, Dong Woo Kim

Summary: A study was conducted on the dry etching of indium tin oxide (ITO) using novel hydrocarbon gases mixed with Ar, such as ethane and propane. The results showed that these gases increased the etch rate and selectivity of ITO, and reduced dimensional loss. The etch residues formed during the process could be successfully removed using H2/Ar plasma.

MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING (2023)

Article Nanoscience & Nanotechnology

High-throughput manufacturing of epitaxial membranes from a single wafer by 2D materials-based layer transfer process

Hyunseok Kim, Yunpeng Liu, Kuangye Lu, Celesta S. Chang, Dongchul Sung, Marx Akl, Kuan Qiao, Ki Seok Kim, Bo-In Park, Menglin Zhu, Jun Min Suh, Jekyung Kim, Junseok Jeong, Yongmin Baek, You Jin Ji, Sungsu Kang, Sangho Lee, Ne Myo Han, Chansoo Kim, Chanyeol Choi, Xinyuan Zhang, Hyeong-Kyu Choi, Yanming Zhang, Haozhe Wang, Lingping Kong, Nordin Noor Afeefah, Mohamed Nainar Mohamed Ansari, Jungwon Park, Kyusang Lee, Geun Young Yeom, Sungkyu Kim, Jinwoo Hwang, Jing Kong, Sang-Hoon Bae, Yunfeng Shi, Suklyun Hong, Wei Kong, Jeehwan Kim

Summary: Multiple compound semiconductor membranes can be produced from a single wafer by directly growing two-dimensional materials on III-N and III-V substrates and then harvesting each epilayer through layer-by-layer mechanical exfoliation. This high-throughput layer transfer technique eliminates time-consuming processes and has the potential to greatly reduce manufacturing costs. The atomic-precision exfoliation at the 2D interface allows for the recycling of wafers for subsequent membrane production.

NATURE NANOTECHNOLOGY (2023)

Article Materials Science, Multidisciplinary

Effect of various pulse plasma techniques on TiO2 etching for metalens formation

Jong Woo Hong, Yeon Hee Kim, Hee Ju Kim, Hyun Woo Tak, Ki Deok Bae, Jeong Yub Lee, Hae Soo Bae, Yong Su Kim, Geun Young Yeom

Summary: TiO2 meta materials with high aspect ratio pillars of different widths were etched using various plasma techniques. The results showed that the etch rates decreased in the order of continuous wave, bias pulsing, synchronous pulsing, and asynchronous pulsing conditions. However, the etch selectivities over ACL and SiO2 and the aspect ratio dependent etching (ARDE) effect improved in that same order. The improvement in ARDE effect was attributed to the decreased compositional differences between wide and narrow TiO2 pattern areas, especially under the asynchronous pulse condition.

VACUUM (2023)

Article Nanoscience & Nanotechnology

Etch Characteristics of Low-K Materials Using CF3I/C4F8/Ar/O2 Inductively Coupled Plasmas

Jong Woo Hong, Hyun Woo Tak, Young Hun Choi, Hee Jung Kim, Dong Woo Kim, Geun Young Yeom

Summary: Adding 50% CF3I into C4F8/Ar/O-2 gas mixtures resulted in both high etch selectivity over mask materials and potentially reduced etch damage.

SCIENCE OF ADVANCED MATERIALS (2022)

Article Engineering, Electrical & Electronic

Atomic Layer Engineering of TMDs by Modulation of Top Chalcogen Atoms: For Electrical Contact and Chemical Doping

Ki Seok Kim, Ki Hyun Kim, Ji Eun Kang, Ju-Hee Lee, You Jin Ji, Geun Young Yeom

Summary: In order to solve the contact resistance issue at the interface between metal electrodes and 2D semiconductors, researchers successfully removed the top sulfur layer and achieved the transition from semiconductor to metal in a two-dimensional transition-metal dichalcogenide material. By atomic doping, they were also able to transform the material to the p-type state. This atomic rearrangement technology shows potential in overcoming the limitations of advanced 2D semiconductors in electronic and optoelectronic devices.

ACS APPLIED ELECTRONIC MATERIALS (2022)

暂无数据