4.6 Article

Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films

期刊

JOURNAL OF PHYSICAL CHEMISTRY C
卷 119, 期 21, 页码 11786-11791

出版社

AMER CHEMICAL SOC
DOI: 10.1021/acs.jpcc.5b03255

关键词

-

资金

  1. Flemish Research Foundation (FWO)
  2. Special Research Fund BOF of Ghent University [GOA 01G01513]

向作者/读者索取更多资源

Crystalline In2O3 thin films were deposited by atomic layer deposition (ALD) using tris(2,2,6,6-tetramethyl-3,5-heptanedionato) indium(III), [In(TMHD)(3)] as an indium source and O2 plasma. Resulting growth rates were studied as a function of precursor pulse, reactant pulse, deposition temperature, and number of ALD cycles. The film growth rate was found to be 0.14 angstrom/cycle within the wide ALD temperature window of 100-400 degrees C. X-ray photoelectron spectroscopic (XPS) and X-ray diffraction (XRD) analysis revealed stoichiometric In2O3 thin films with polycrystalline cubic structure, even at 100 degrees C. All the as-deposited films were smooth, with RMS roughness values between 0.39 to 0.47 nm, as shown by atomic force microscopic (AFM) analysis. The optical properties and electrical resistivities of the films were determined by spectroscopic ellipsometry (SE) and four-point probe measurements. The highly transparent (ca. 94% in the visible region) films had a refractive index of 2.01-2.05 and a resistivity of 2.5-3 m Omega.cm.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Article Chemistry, Physical

Behaviour of Platinum-Tin during CO2-assisted propane dehydrogenation: Insights from quick X-ray absorption spectroscopy

Nadadur Veeraraghavan Srinath, Hilde Poelman, Lukas Buelens, Jolien Dendooven, Marie-Francoise Reyniers, Guy B. Marin, Vladimir V. Galvita

Summary: CO2-assisted propane dehydrogenation was studied on Pt-Sn/MgAl2O4 catalysts, and the effects of reduction and oxidation on the catalyst's structure and activity were investigated. It was found that CO2 can enhance the conversion of propane, but high concentrations of CO2 can lead to catalyst deactivation.

JOURNAL OF CATALYSIS (2022)

Article Chemistry, Inorganic & Nuclear

Plasma-enhanced atomic layer deposition of nickel and cobalt phosphate for lithium ion batteries

Lowie Henderick, Ruben Blomme, Matthias Minjauw, Jonas Keukelier, Johan Meersschaut, Jolien Dendooven, Philippe Vereecken, Christophe Detavernier

Summary: A plasma-enhanced ALD process has been developed for depositing nickel phosphate, showing potential applications in energy storage and conversion devices. The addition of extra oxygen plasma can enhance the stoichiometry of the deposited layer towards close to pure nickel phosphate. The electrochemical studies on the PE-ALD deposited nickel phosphate layers demonstrate high capacity and good rate behavior, attributed to possible conversion or alloying reactions.

DALTON TRANSACTIONS (2022)

Article Chemistry, Inorganic & Nuclear

Atomic layer deposition of ternary ruthenates by combining metalorganic precursors with RuO4 as the co-reactant

Matthias M. Minjauw, Ji-Yu Feng, Timo Sajavaara, Christophe Detavernier, Jolien Dendooven

Summary: This work presents the use of ruthenium tetroxide (RuO4) as a co-reactant in atomic layer deposition (ALD), achieving ALD of ruthenium-containing metal oxides and demonstrating self-limiting surface reactions. Different processes result in films with varied metal ratios and impurities, all of which are amorphous.

DALTON TRANSACTIONS (2022)

Article Chemistry, Physical

Aligning time-resolved kinetics (TAP) and surface spectroscopy (AP-XPS) for a more comprehensive understanding of ALD-derived 2D and 3D model catalysts

Evgeniy A. Redekop, Hilde Poelman, Matthias Filez, Ranjith K. Ramachandran, Jolien Dendooven, Christophe Detavernier, Guy B. Marin, Unni Olsbye, Vladimir V. Galvita

Summary: The spectro-kinetic characterization of complex catalytic materials is essential for understanding and improving various chemical technologies. This study proposes a method that combines temporal analysis of products pulse-response kinetic experiments with ambient pressure X-ray photoelectron spectroscopy to obtain a comprehensive understanding of catalytic reactions. The results show that this tandem methodology is effective in monitoring coke deposition and providing quantitative kinetic descriptors.

FARADAY DISCUSSIONS (2022)

Article Chemistry, Physical

Selective Vapor-Phase Doping of Pt Nanoparticles into Phase-Controlled Nanoalloys

Nithin Poonkottil, Ranjith K. Ramachandran, Eduardo Solano, Nadadur Veeraraghavan Srinath, Ji-Yu Feng, Andreas Werbrouck, Michiel Van Daele, Matthias Filez, Matthias M. Minjauw, Hilde Poelman, Alessandro Coati, Christophe Detavernier, Jolien Dendooven

Summary: This study presents a flexible strategy for the deposition of phase-controlled bimetallic nanoparticles (BMNPs) by vapor-phase titration of a secondary metal to a pre-deposited monometallic nanoparticle (NP) host. The method allows for precise control over the structure and composition of the BMNPs through substrate temperature control and the addition of hydrogen gas. The approach provides a single-step, selective vapor-phase conversion of the monometallic NPs into phase-controlled BMNPs with potential applications in catalysis.

JOURNAL OF PHYSICAL CHEMISTRY C (2022)

Article Chemistry, Physical

Surface reactions between LiHMDS, TMA and TMP leading to deposition of amorphous lithium phosphate

Andreas Werbrouck, Felix Mattelaer, Arpan Dhara, Mikko Nisula, Matthias Minjauw, Frans Munnik, Jolien Dendooven, Christophe Detavernier

Summary: This work reports on the atomic layer deposition process combining lithium hexamethyl disilazide (LiHMDS) and trimethylphosphate (TMP), and studies the impact of adding a trimethylaluminum (TMA) pulse to this process. The interaction between TMA and TMP plays a key role in this process, and the addition of TMA leads to higher growth and structural changes in the deposited layer. The amorphous mix of Li4P2O7 and Li3PO4 in the films grown with TMA improves the conductive properties of the material, making it potentially useful for modifying electrode-electrolyte interfaces in lithium-ion batteries.

JOURNAL OF MATERIALS CHEMISTRY A (2022)

Article Nanoscience & Nanotechnology

Titanium Carboxylate Molecular Layer Deposited Hybrid Films as Protective Coatings for Lithium-Ion Batteries

Sofie S. T. Vandenbroucke, Lowie Henderick, Louis L. De Taeye, Jin Li, Karolien Jans, Philippe M. Vereecken, Jolien Dendooven, Christophe Detavernier

Summary: This study reports the deposition of titanium carboxylate thin films via molecular layer deposition (MLD) on lithium-ion battery cathodes. The films have good performance in both protecting the cathodes and accommodating volume changes of the electrode.

ACS APPLIED MATERIALS & INTERFACES (2022)

Article Chemistry, Applied

In vacuo XPS investigation of surface engineering for lithium metal anodes with plasma treatment

Bo Zhao, Jin Li, Maxime Guillaume, Jolien Dendooven, Christophe Detavernier

Summary: The study reveals that the pristine Li surface is naturally covered with an oxidized layer, which can be efficiently removed by plasma treatments to obtain different surface compositions like metallic Li, Li2O, and Li3N. Among these, the Li3N coated Li electrode shows superior stability in symmetric Li metal batteries.

JOURNAL OF ENERGY CHEMISTRY (2022)

Review Physics, Applied

Atomic layer deposition of metal phosphates

Lowie Henderick, Arpan Dhara, Andreas Werbrouck, Jolien Dendooven, Christophe Detavernier

Summary: Due to their unique properties, metal phosphate coatings are widely used in various applications. Atomic layer deposition is a precise method for producing high-quality coatings. This article discusses different strategies and mechanisms of atomic layer deposition for phosphate materials, and summarizes the applications of metal phosphates in energy storage and other emerging technologies.

APPLIED PHYSICS REVIEWS (2022)

Article Chemistry, Physical

Shuffling Atomic Layer Deposition Gas Sequences to Modulate Bimetallic Thin Films and Nanoparticle Properties

Matthias Filez, Ji-Yu Feng, Matthias M. Minjauw, Eduardo Solano, Nithin Poonkottil, Michiel Van Daele, Ranjith K. Ramachandran, Chen Li, Sara Bals, Hilde Poelman, Christophe Detavernier, Jolien Dendooven

Summary: This paper introduces a new gas-phase sequence, ALD+, which combines multiple functionalities to tailor the properties of bimetallic thin films and nanoparticles, expanding upon traditional atomic layer deposition methods.

CHEMISTRY OF MATERIALS (2022)

Article Materials Science, Multidisciplinary

A combinatorial study of SiGeAsTe thin films for application as an Ovonic threshold switch selector

Wouter Devulder, Daniele Garbin, Sergiu Clima, Gabriele Luca Donadio, Andrea Fantini, Bogdan Govoreanu, Christophe Detavernier, Larry Chen, Michael Miller, Ludovic Goux, Sven Van Elshocht, Johan Swerts, Romain Delhougne, Gouri Sankar Kar

Summary: This paper investigates the thermal stability of a wide range of ternary and quaternary (Si)GeAsTe alloy thin films. The study shows that Si doping improves the thermal stability and capping the films with a W cap avoids material loss. An optimal chalcogenide composition of As50Te20Ge20Si10 is identified for electrical characterization.

THIN SOLID FILMS (2022)

Article Nanoscience & Nanotechnology

Nanoporous Silica-Alumina Films Fabricated on Silicon Photonic Chips for Selective Ammonia Sensing

Yanlu Li, Claudio Bellani, Nebiyu Yebo, Jolien Dendooven, Jin Won Seo, Christophe Detavernier, Roel Baets, Johan A. Martens, Sreeprasanth Pulinthanathu Sree

Summary: This study demonstrates the integration of nanoporous silica-alumina films on silicon nanophotonic chips for selective NH3 detection. The reversible nature of the sensor is investigated in relation to preadsorbed water vapor.

ACS APPLIED NANO MATERIALS (2022)

Article Chemistry, Physical

Spatially Templated Nanolines of Ru and RuO2 by Sequential Infiltration Synthesis

Nithin Poonkottil, Eduardo Solano, Arbresha Muriqi, Matthias M. Minjauw, Matthias Filez, Michael Nolan, Christophe Detavernier, Jolien Dendooven

Summary: Nanoscale patterning of inorganics is crucial for advanced electronic, photonic, and energy devices. In this study, the sequential infiltration synthesis (SIS) method was used to fabricate Ru metal nanostructures in polystyrene-block-polymethyl methacrylate (PS-b-PMMA) templates without any pretreatment. The selective interaction between RuO4 and the aromatic C=C and C-H groups in PS allows for the formation of Ru nanolines.

CHEMISTRY OF MATERIALS (2022)

Article Engineering, Electrical & Electronic

Effects of post metallization annealing on Al2O3 atomic layer deposition on n-GaN

Liad Tadmor, Enrico Brusaterra, Eldad Bahat Treidel, Frank Brunner, Nicole Bickel, Sofie S. T. Vandenbroucke, Christophe Detavernier, Joachim Wuerfl, Oliver Hilt

Summary: This work investigates the properties and robustness of post metallization annealed Al2O3 atomic layers on n-type GaN in terms of chemical, physical, and electrical aspects. The study demonstrates a gate-first process flow with subsequent ohmic contact formation at temperatures up to 600 degrees C using planar metal insulator capacitors. The results show no new bonds or crystallization in the Al2O3 layer due to annealing, but degradation is observed at 600 degrees C. The annealing temperature affects the oxide depletion and deep depletion capacitances, resulting in a reduction of the flat band voltage to zero.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2023)

暂无数据