4.4 Article

Design and Simulation of a 128 kb Embedded Nonvolatile Memory Based on a Hybrid RRAM (HfO2)/28 nm FDSOI CMOS Technology

期刊

IEEE TRANSACTIONS ON NANOTECHNOLOGY
卷 16, 期 4, 页码 677-686

出版社

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TNANO.2017.2703985

关键词

Embedded non-volatile memory; memory architecture; resistive switching memory; RRAM

资金

  1. French national projects ANR-DIPMEM

向作者/读者索取更多资源

Emerging nonvolatile memories (NVM) based on resistive switching mechanism such asRRAMare under intense R&D investigation by both academics and industries. They provide high write/read speed, low power, and good endurance (e.g., > 1012) beyond mainstream NVMs, enabling them to be a good candidate for Flash replacement in microcontroller unit. This replacement could significantly decrease the power consumption and the integration cost on advanced CMOS nodes. This paper presents first the HfO2 - based RRAM technology and the associated compact model, which includes related physics and model card fitting experimental electrical characterizations. The 128 kb memory architecture based on RRAM technology and 28 nm fully depleted silicon on insulator (FDSOI) CMOS core process is presented with a bottom-up approach, starting from the bit-cell definition up to the complete memory architecture implementation. The key points of the architecture are the use of standard logic MOS exclusively, avoiding any high voltage MOS usage, program/verify procedure to mitigate cycle to cycle variability issue and direct bit-cell read access for characterization purpose. The proposed architecture is validated using postlayout simulations on MOS and RRAM corner cases.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.4
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Article Engineering, Electrical & Electronic

OxRAM plus OTS optimization for binarized neural network hardware implementation

J. Minguet Lopez, T. Hirtz, M. Dampfhoffer, L. Grenouillee, L. Reganaz, G. Navarro, C. Carabasse, E. Vianello, T. Magis, D. Deleruyelle, M. Bocquet, J. M. Portal, F. Andrieu, G. Molas

Summary: This study aims to improve the speed and power consumption of deep learning accelerators by using low-power memristive devices and proposes a denser 1S1R crossbar system. The research achieves successful experimental results and simulations.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2022)

Article Computer Science, Hardware & Architecture

Thermal and Voltage-Aware Performance Management of 3-D MPSoCs With Flow Cell Arrays and Integrated SC Converters

Halima Najibi, Alexandre Levisse, Giovanni Ansaloni, Marina Zapater, Miroslav Vasic, David Atienza

Summary: Flow cell arrays (FCAs) provide efficient on-chip liquid cooling and electrochemical power generation, which is particularly beneficial for 3-D multiprocessor systems-on-chip (3-D MPSoCs) with challenging power and thermal requirements. FCAs improve power delivery network performance and enable voltage drop recovery across dies, resulting in increased computing performance. A novel temperature and voltage-aware model-predictive control strategy further optimizes power efficiency during runtime. These advancements have demonstrated significant temperature reduction and power consumption decrease in heterogeneous 3-D MPSoCs.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Engineering, Electrical & Electronic

STATE: A Test Structure for Rapid and Reliable Prediction of Resistive RAM Endurance

H. Aziza, J. Postel-Pellerin, M. Moreau

Summary: This paper proposes a novel characterization methodology for rapid detection of RRAM reliablity issues and introduces a test structure for endurance tests. By monitoring the electrical parameters of RRAM, the endurance can be accurately evaluated, and a mitigation scheme is presented for reducing endurance failures.

IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY (2022)

Article Computer Science, Information Systems

Bit-Line Computing for CNN Accelerators Co-Design in Edge AI Inference

Marco Rios, Flavio Ponzina, Alexandre Levisse, Giovanni Ansaloni, David Atienza

Summary: Bit-line Computing (BC) architectures enable parallel execution of bit-wise operations in-memory, resulting in efficient arithmetic operations at the array periphery. This paradigm offers new opportunities for edge AI with its inherent parallelism and energy efficiency. This manuscript proposes a framework that leverages BC optimizations to enable high parallelism and aggressive compression of AI models, resulting in significant energy savings compared to state-of-the-art BC computing approaches.

IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (2023)

Article Computer Science, Information Systems

Experimental Analysis of Oxide-Based RAM Analog Synaptic Behavior

Hassan Aziza, Jeremy Postel-Pellerin, Mathieu Moreau

Summary: This paper evaluates the conductance modulation of Oxide-based RAM (OxRAM) devices based on experimental data, revealing its inherent analog synaptic behavior. A test chip made of a classical 1T-1R elementary memory array is used to demonstrate the conductance modulation. Two different programming techniques are used, and it is shown that the success of a reliable conductance modulation scheme depends on the precise control of the impact of variability on the different conductance levels.

ELECTRONICS (2023)

Article Engineering, Electrical & Electronic

A memristor-based Bayesian machine

Kamel-Eddine Harabi, Tifenn Hirtzlin, Clement Turck, Elisa Vianello, Raphael Laurent, Jacques Droulez, Pierre Bessiere, Jean-Michel Portal, Marc Bocquet, Damien Querlioz

Summary: Researchers report a memristor-based Bayesian machine that implements Bayes' law using principles of distributed memory and stochastic computing, enabling the circuit to operate solely using local memory and minimal data movement. A prototype circuit with 2,048 memristors and 30,080 transistors is fabricated, showing higher energy efficiency in a practical gesture recognition task compared to a standard implementation of Bayesian inference on a microcontroller unit.

NATURE ELECTRONICS (2023)

Article Engineering, Electrical & Electronic

An Error-Based Approximation Sensing Circuit for Event-Triggered Low-Power Wearable Sensors

Silvio Zanoli, Flavio Ponzina, Tomas Teijeiro, Alexandre Levisse, David Atienza

Summary: Event-based sensors have the potential to optimize energy consumption in various stages of signal processing. This study introduces a Polygonal Approximation Sampler (PAS) circuit that implements a general-purpose event-based sampler using a polygonal approximation algorithm. The circuit can be adjusted to produce either coarse or detailed analog input reconstructions. The PAS has been tested with different types of signals and shows significant reduction in data usage without compromising performance. These results pave the way for wearable sensors with smaller size and longer battery life.

IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS (2023)

Article Computer Science, Hardware & Architecture

ALPINE: Analog In-Memory Acceleration With Tight Processor Integration for Deep Learning

Joshua Klein, Irem Boybat, Yasir Mahmood Qureshi, Martino Dazzi, Alexandre Levisse, Giovanni Ansaloni, Marina Zapater, Abu Sebastian, David Atienza

Summary: Analog in-memory computing (AIMC) cores offer better performance and energy efficiency for neural network inference compared to digital logic(CPUs). However, AIMC-centric platforms lack flexibility and can only support a limited set of processing functions. To bridge this flexibility gap, we propose a novel system architecture that integrates analog in-memory computing accelerators into multi-core CPUs in general-purpose systems.

IEEE TRANSACTIONS ON COMPUTERS (2023)

Proceedings Paper Automation & Control Systems

A Multimode Hybrid Memristor-CMOS Prototyping Platform Supporting Digital and Analog Projects

K. -E. Harabi, C. Turck, M. Drouhin, A. Renaudineau, T. Bersani--Veroni, D. Querlioz, T. Hirtzlin, E. Vianello, M. Bocquet, J. -M. Portal

Summary: We have developed an integrated circuit that combines CMOS and hafnium-oxide memristor technology, serving as a prototyping platform for memristor-related projects. The circuit includes both peripheral circuitry for using memristors in digital circuits, and an analog mode with direct access to memristors. This platform allows for optimizing the conditions of reading and writing memristors, as well as the development and testing of innovative memristor-based neuromorphic concepts.

2023 28TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, ASP-DAC (2023)

Proceedings Paper Computer Science, Hardware & Architecture

From resilience to Resistive Memory variability in Binarized Neural Networks to exploitation of variability in Bayesian Neural Network

Tifenn Hirtzlin, Thomas Dalgaty, Marc Bocquet, Jean-Michel Portal, Jacques-Olivier Klein, Clement Turck, Kamel-Eddine Harabi, Damien Querlioz, Elisa Vianello

Summary: This article introduces two methods for energy-efficient hardware implementation using resistive memory technology. One method is to use binarized neural networks that are resilient to errors and operate at low energy consumption. The other method is to utilize memristor variability to implement Markov chain Monte Carlo sampling configured as a Bayesian machine learning model. The research demonstrates the robustness of these methods to device variability and degradation, and based on simulations, the total energy consumption for classification and model training is estimated to be two orders of magnitude lower than CMOS-based approaches.

2022 INTERNATIONAL CONFERENCE ON IC DESIGN AND TECHNOLOGY (ICICDT) (2022)

Proceedings Paper Engineering, Multidisciplinary

Experimental demonstration of Single-Level and Multi-Level-Cell RRAM-based In-Memory Computing with up to 16 parallel operations

E. Esmanhotto, T. Hirtzlin, N. Castellani, S. Martin, B. Giraud, F. Andrieu, J. F. Nodin, D. Querlioz, J-M. Portal, E. Vianello

Summary: This article demonstrates a resilient RRAM-based in-memory computing logic concept, showing strong resilience to RRAM variability even after numerous endurance cycles. The work achieves a new milestone in the field of RRAM in-memory logic and presents the first experimental evidence of an RRAM-based MLC 2-bit adder.

2022 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) (2022)

Proceedings Paper Engineering, Electrical & Electronic

SuperCAST: a full free addressable memory array

Vincenzo Della Marca, Julien Guilleau-Tavernier, Pierre Laine, Franck Melul, Marc Bocquet, Thibault Kempf, Loic Welter, Jean-Michel Moragues, Arnaud Regnier, Jean-Michel Portal

Summary: This paper presents a full free addressable 4kb EEPROM memory array. The structure uses flexible addressing logic and column/row shift registers to bias cells for electrical characterization, resulting in improved efficiency in an electrical characterization laboratory.

2022 IEEE 34TH INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) (2022)

Proceedings Paper Engineering, Electrical & Electronic

Embedded measurement of the SET switching time of RRAM memory cells

F. Jebali, E. Muhr, M. Alayan, M. C. Faye, D. Querlioz, F. Andrieu, E. Vianello, G. Molas, M. Bocquet, J. M. Portal

Summary: This paper presents an embedded measurement circuit dedicated to extracting the SET switching time of RRAM memory cells. The design and operation of the measurement circuit, as well as the test setup and conditions, are described in detail. The results show that the resistance and SET switching time values obtained using this circuit are consistent with those obtained through heavy waveguide measurement setups in the literature.

2022 IEEE 34TH INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) (2022)

Proceedings Paper Computer Science, Hardware & Architecture

1S1R sub-threshold operation in Crossbar arrays for low power BNN inference computing

J. Minguet Lopez, F. Rummens, L. Reganaz, A. Heraud, T. Hirtzlin, L. Grenouillet, G. Navarro, M. Bernard, C. Carabasse, N. Castellani, V Meli, S. Martin, T. Magis, E. Vianello, C. Sabbione, D. Deleruyelle, M. Bocquet, J. M. Portal, G. Molas, F. Andrieu

Summary: This study experimentally validates the sub-threshold reading strategy in OxRAM+OTS crossbar arrays for low precision inference in Binarized Neural Networks. Through an experimental and theoretical study, the sub-threshold current margin in 1S1R stacked HfO2-based devices with various OTS technologies is optimized. The accuracy and power consumption of a Binarized Neural Network designed in 28nm CMOS are estimated with Monte Carlo simulations.

2022 14TH IEEE INTERNATIONAL MEMORY WORKSHOP (IMW 2022) (2022)

Proceedings Paper Computer Science, Hardware & Architecture

High temperature stability embedded ReRAM for 2x nm node and beyond

G. Molas, G. Piccolboni, A. Bricalli, A. Verdy, I Naot, Y. Cohen, A. Regev, I Naveh, D. Deleruyelle, Q. Rafhay, N. Castellani, L. Reganaz, A. Persico, R. Segaud, J. F. Nodin, V Meli, S. Martin, F. Andrieu, L. Grenouillet

Summary: This study reports the performances and reliability of ReRAM technology integrated in the 28nm node. The technology achieved low raw BER and showed good endurance with stable memory window even after high temperature baking.

2022 14TH IEEE INTERNATIONAL MEMORY WORKSHOP (IMW 2022) (2022)

暂无数据