4.6 Article

Optimization of TSV Leakage in Via-Middle TSV Process for Wafer-Level Packaging

期刊

ELECTRONICS
卷 10, 期 19, 页码 -

出版社

MDPI
DOI: 10.3390/electronics10192370

关键词

TSV; via-middle; leakage current; bulk micro defect; stress

资金

  1. Program of Shanghai Subject Chief Scientist [18XD1402800]
  2. Plans for the Youth-Notch Talents of China

向作者/读者索取更多资源

This paper introduces a Cu-filled via-middle TSV embedded in 0.18 μm CMOS process for sensor application, focusing on the analysis and optimization of TSV leakage. By optimizing etch process, substrate defect, and thermal processing, TSV leakage failure can be effectively avoided, providing guidance for improving TSV wafer-level package yield and device performance in advanced semiconductor technology.
Through silicon via (TSV) offers a promising solution for the vertical connection of chip I/O, which enables smaller and thinner package sizes and cost-effective products by using wafer-level packaging instead of a chip-level process. However, TSV leakage has become a critical concern in the BEOL process. In this paper, a Cu-fulfilled via-middle TSV with 100 mu m depth embedded in 0.18 mu m CMOS process for sensor application is presented, focusing on the analysis and optimization of TSV leakage. By using etch process, substrate defect, and thermal processing co-optimization, TSV leakage failure can be successfully avoided, which can be very instructive for the improvement in TSV wafer-level package yield as well as device performance in advanced semiconductor technology.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据