4.7 Article

Design of Multiple-Target Tracking System on Heterogeneous System-on-Chip Devices

期刊

IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY
卷 65, 期 6, 页码 4802-4812

出版社

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TVT.2016.2546957

关键词

Hardware accelerators; heterogeneous architecture; multiple-target tracking (MTT); Zynq

资金

  1. Singapore Ministry of Education Academic Research Fund Tier 2 [MOE2012-T2-1-115]
  2. French-Singaporean Merlion Ph.D. Project
  3. International Campus on Safety and Intermodality in Transportation (CISIT)

向作者/读者索取更多资源

Advanced driver-assistance systems (ADAS) generally embrace heterogeneous platforms consisting of central processing units and field-programmable gate arrays (FPGAs) to achieve higher performance and energy efficiency. The multiple-target tracking (MTT) system is an important component in most ADAS and is particularly suited for heterogeneous implementation to improve responsiveness. However, the platform heterogeneity necessitates numerous design decisions to obtain the optimal application partitioning between the processor and the FPGA. In this paper, multiple configurations of the MTT application have been investigated on the Xilinx Zynq commercial heterogeneous platform. An extensive design space exploration was performed to recommend the optimal configuration with high performance and energy efficiency. A reduction of more than 65%, both in execution time and energy consumption, has been obtained by the utilization of the heterogeneous architecture. Finally, an analytical model is proposed to estimate execution time and energy consumption to enable a rapid exploration of the different configurations and predict the performance that can be expected with future system-on-chip (SoC) platforms and radar sensors in ADAS.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.7
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Editorial Material Computer Science, Hardware & Architecture

Guest Editors' Introduction: Education for Cyber-Physical Systems

Peter Marwedel, Tulika Mitra, Martin Edin Grimheden, Hugo A. Andrade

IEEE DESIGN & TEST (2020)

Editorial Material Computer Science, Hardware & Architecture

The 2020 Embedded Systems Week (ESWEEK): A Virtual Event During a Pandemic

Tulika Mitra, Andreas Gerstlauer

IEEE DESIGN & TEST (2021)

Article Computer Science, Hardware & Architecture

Power-Efficient Heterogeneous Many-Core Design With NCFET Technology

Sami Salamin, Martin Rapp, Anuj Pathania, Arka Maity, Joerg Henkel, Tulika Mitra, Hussam Amrouch

Summary: The article explores the system- and application-level benefits of NCFET-based multi-/many-core designs compared to state-of-the-art FinFET-based designs in terms of performance and power efficiency. It shows that a novel type of technology-based heterogeneity, in which cores with the same microarchitecture but different ferroelectric (FE) thickness are combined, can significantly increase power efficiency.

IEEE TRANSACTIONS ON COMPUTERS (2021)

Article Computer Science, Hardware & Architecture

ChordMap: Automated Mapping of Streaming Applications Onto CGRA

Zhaoying Li, Dhananjaya Wijerathne, Xianzhang Chen, Anuj Pathania, Tulika Mitra

Summary: This article introduces a CGRA mapper called ChordMap, which automatically generates a high-quality mapping of streaming applications represented as SDF onto CGRAs. By using optimized spatio-temporal mapping and modulo-scheduling, ChordMap achieves higher throughput compared to existing technologies.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Article Computer Science, Software Engineering

oo7: Low-Overhead Defense Against Spectre Attacks via Program Analysis

Guanhua Wang, Sudipta Chattopadhyay, Ivan Gotovchits, Tulika Mitra, Abhik Roychoudhury

Summary: The Spectre vulnerability in modern processors has been widely reported, and the static analysis approach oo7 is proposed to mitigate Spectre attacks by detecting and patching potentially vulnerable code snippets in program binaries. This method can detect various Spectre-vulnerable code patterns, insert fences at vulnerable conditional branches to prevent speculative execution, with an observed performance overhead of around 5.9% on SPECint benchmarks.

IEEE TRANSACTIONS ON SOFTWARE ENGINEERING (2021)

Article Computer Science, Hardware & Architecture

HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical Abstraction

Dhananjaya Wijerathne, Zhaoying Li, Anuj Pathania, Tulika Mitra, Lothar Thiele

Summary: The article introduces a fast and scalable CGRA mapping method called HiMap, which can generate close-to-optimal solutions, improve performance and energy efficiency, and has a short compilation time.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Article Computer Science, Hardware & Architecture

ASCENT: Communication Scheduling for SDF on Bufferless Software-Defined NoC

Vanchinathan Venkataramani, Bruno Bodin, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh

Summary: This article proposes an application-specific, non-TDM communication scheduling mechanism for bufferless software-defined NoCs. By utilizing the SDF model and task interactions and timing information, ASCENT achieves high performance and predictability.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Proceedings Paper Computer Science, Hardware & Architecture

LISA: Graph Neural Network based Portable Mapping on Spatial Accelerators

Zhaoying Li, Dan Wu, Dhananjaya Wijerathne, Tulika Mitra

Summary: This paper presents a portable compilation framework called LISA, which automatically adjusts to generate high-quality mappings for various spatial accelerators. By using graph neural networks to analyze graph attributes and considering the impact of dataflow graph structure on node placement and dependency routing, an optimized mapping strategy is achieved.

2022 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2022) (2022)

Proceedings Paper Automation & Control Systems

GraphWave: A Highly-Parallel Compute-at-Memory Graph Processing Accelerator

Jinho Lee, Burin Amornpaisannon, Tulika Mitra, Trevor E. Carlson

Summary: This research improves the performance and efficiency of graph accelerators by maximizing parallelism and optimizing interconnect structure.

PROCEEDINGS OF THE 2022 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2022) (2022)

Proceedings Paper Computer Science, Artificial Intelligence

Preventing Catastrophic Forgetting and Distribution Mismatch in Knowledge Distillation via Synthetic Data

Kuluhan Binici, Nam Trung Pham, Tulika Mitra, Karianto Leman

Summary: With the increasing popularity of deep learning on edge devices, compressing large neural networks to meet the hardware requirements of resource-constrained devices has become a significant research direction. This paper addresses the problem of catastrophic forgetting in existing data-free distillation methods and proposes a data-free KD framework that maintains a dynamic collection of generated samples over time. The experiments demonstrate that the proposed framework improves the accuracy of student models obtained via KD on various datasets.

2022 IEEE WINTER CONFERENCE ON APPLICATIONS OF COMPUTER VISION (WACV 2022) (2022)

Proceedings Paper Computer Science, Hardware & Architecture

REVAMP: A Systematic Framework for Heterogeneous CGRA Realization

Thilini Kaushalya Bandara, Dhananjaya Wijerathne, Tulika Mitra, Li-Shiuan Peh

Summary: This research aims to improve energy efficiency in CGRAs by introducing heterogeneity and corresponding compiler support. The study proposes an automated design space exploration framework, REVAMP, which converts homogeneous CGRAs into irregular architectures through optimizing compute, network, and memory heterogeneity. The research showcases REVAMP on three homogeneous CGRAs, demonstrating its effectiveness.

ASPLOS '22: PROCEEDINGS OF THE 27TH ACM INTERNATIONAL CONFERENCE ON ARCHITECTURAL SUPPORT FOR PROGRAMMING LANGUAGES AND OPERATING SYSTEMS (2022)

Proceedings Paper Computer Science, Artificial Intelligence

HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical Abstraction

Dhananiaya Wijerathne, Zhaoying Li, Anuj Pathania, Tulika Mitra, Lothar Thiele

Summary: CGRA as a promising hardware accelerator relies on high-quality compilers for optimal performance, where HiMap offers a fast and scalable mapping approach that improves performance and energy efficiency significantly while reducing compilation time.

PROCEEDINGS OF THE 2021 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2021) (2021)

Article Computer Science, Hardware & Architecture

Neural Network-Based Performance Prediction for Task Migration on S-NUCA Many-Cores

Martin Rapp, Anuj Pathania, Tulika Mitra, Joerg Henkel

Summary: The performance of a task on a many-core with distributed shared LLC depends on the power budget and LLC latency. Task migrations can help maintain peak performance. The relative impacts of power budget and LLC latency on task performance may change in different execution phases.

IEEE TRANSACTIONS ON COMPUTERS (2021)

Article Engineering, Electrical & Electronic

PkMin: Peak Power Minimization for Multi-Threaded Many-Core Applications

Arka Maity, Anuj Pathania, Tulika Mitra

JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (2020)

Proceedings Paper Computer Science, Software Engineering

BrezeFlow: Unified Debugger for Android CPU Power Governors and Schedulers on Edge Devices

Alexander Hoffman, Anuj Pathania, Philipp H. Kindt, Samarjit Chakraborty, Tulika Mitra

PROCEEDINGS OF THE 2020 57TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2020)

暂无数据