4.6 Article

Self-Assembly of Chip-Size Components with Cavity Structures: High-Precision Alignment and Direct Bonding without Thermal Compression for Hetero Integration

期刊

MICROMACHINES
卷 2, 期 1, 页码 49-68

出版社

MDPI
DOI: 10.3390/mi2010049

关键词

self-assembly; room-temperature bonding; direct bonding; liquid; surface tension; cavity chip; hetero integration

资金

  1. Grants-in-Aid for Scientific Research [23360146] Funding Source: KAKEN

向作者/读者索取更多资源

New surface mounting and packaging technologies, using self-assembly with chips having cavity structures, were investigated for three-dimensional (3D) and hetero integration of complementary metal-oxide semiconductors (CMOS) and microelectromechanical systems (MEMS). By the surface tension of small droplets of 0.5 wt% hydrogen fluoride (HF) aqueous solution, the cavity chips, with a side length of 3 mm, were precisely aligned to hydrophilic bonding regions on the surface of plateaus formed on Si substrates. The plateaus have micro-channels to readily evaporate and fully remove the liquid from the cavities. The average alignment accuracy of the chips with a 1 mm square cavity was found to be 0.4. m. The alignment accuracy depends, not only on the area of the bonding regions on the substrates and the length of chip periphery without the widths of channels in the plateaus, but also the area wetted by the liquid on the bonding regions. The precisely aligned chips were then directly bonded to the substrates at room temperature without thermal compression, resulting in a high shear bonding strength of more than 10 MPa.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Article Engineering, Manufacturing

High-Thermoresistant Temporary Bonding Technology for Multichip-to-Wafer 3-D Integration With Via-Last TSVs

Hideto Hashiguchi, Takafumi Fukushima, Mariappan Murugesan, Hisashi Kino, Tetsu Tanaka, Mitsumasa Koyanagi

IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY (2019)

Article Engineering, Electrical & Electronic

Development of Eccentric Spin Coating of Polymer Liner for Low-Temperature TSV Technology With Ultra-Fine Diameter

Miao Xiong, Zhiming Chen, Yingtao Ding, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka

IEEE ELECTRON DEVICE LETTERS (2019)

Article Physics, Applied

Multichip thinning technology with temporary bonding for multichip-to-wafer 3D integration

Sungho Lee, Rui Liang, Yuki Miwa, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka

JAPANESE JOURNAL OF APPLIED PHYSICS (2020)

Article Engineering, Electrical & Electronic

Direct fabrication of SU-8 microchannel across an embedded chip for potentiometric bilayer lipid membrane sensor

Hiromichi Wakebe, Takafumi Fukushima, Tetsu Tanaka

Summary: This study demonstrates a fabrication technique of SU-8 microchannel suitable for microfluidic-based BLM formation and successfully forms BLMs, which is of great significance for drug targets and biosensing applications.

ELECTRONICS AND COMMUNICATIONS IN JAPAN (2022)

Article Engineering, Electrical & Electronic

Electrochemical characterization of ZnO-based transparent materials as recording electrodes for neural probes in optogenetics

Yuki Miwa, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka

Summary: This study explores the use of transparent recording electrodes to enhance the performance of neural probes for optogenetics, using ZnO-based materials with good biocompatibility and transparency for potential application in biomedical devices.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2022)

Article Engineering, Electrical & Electronic

Investigation of TSV Liner Interface With Multiwell Structured TSV to Suppress Noise Propagation in Mixed-Signal 3D-IC

Hisashi Kino, Takafumi Fukusima, Tetsu Tanaka

IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY (2019)

Proceedings Paper Engineering, Electrical & Electronic

Fully-Filled, Highly-Reliable Fine-Pitch Interposers with TSV Aspect Ratio >10 for Future 3D-LSI/IC Packaging

M. Murugesan, T. Fukushima, K. Mori, A. Nakamura, Y. Lee, M. Motoyoshi, J. C. Bea, S. Watariguchi, M. Koyanagi

2019 IEEE 69TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) (2019)

Proceedings Paper Engineering, Electrical & Electronic

Mechanical and Electrical Characterization of FOWLP-Based Flexible Hybrid Electronics (FHE) for Biomedical Sensor Application

Yuki Susumago, Qian Zhengyang, Achille Jacquemond, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima

2019 IEEE 69TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) (2019)

Proceedings Paper Engineering, Electrical & Electronic

500 nm-sized Ni-TSVwith Aspect Ratio 20 for Future 3D-LSIs_A Low-Cost Electroless-Ni Plating Approach

M. Murugesan, T. Fukushima, M. Koyanagi

2019 30TH ANNUAL SEMI ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE (ASMC) (2019)

Proceedings Paper Engineering, Electrical & Electronic

Mechanical Characterization of FOWLPBased Flexible Hybrid Electronics (FHE) for Biomedical Sensor Application

Yuki Susumago, Achille Jacquemond, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima

2019 INTERNATIONAL CONFERENCE ON ELECTRONICS PACKAGING (ICEP 2019) (2019)

Article Engineering, Electrical & Electronic

The Effect of Tungsten Volume on Residual Stress and Cell Characteristics in MONOS

Young-Taek Oh, Jae-Min Sim, Hisashi Kino, Deok-Kee Kim, Tetsu Tanaka, Yun-Heub Song

IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY (2019)

暂无数据