4.5 Review

Reactor concepts for atomic layer deposition on agitated particles: A review

期刊

出版社

A V S AMER INST PHYSICS
DOI: 10.1116/1.4851676

关键词

-

资金

  1. IWT Vlaanderen [90270]
  2. European Research Council under the European Union [239865]
  3. UGent GOA Project [01G01513]

向作者/读者索取更多资源

The number of possible applications for nanoparticles has strongly increased in the last decade. For many applications, nanoparticles with different surface and bulk properties are necessary. A popular surface modification technique is coating the particle surface with a nanometer thick layer. Atomic layer deposition (ALD) is known as a reliable method for depositing ultrathin and conformal coatings. In this article, agitation or fluidization of the particles is necessary for performing ALD on (nano) particles. The principles of gas fluidization of particles will be outlined, and a classification of the gas fluidization behavior of particles based on their size and density will be given. Following different reactor concepts that have been designed to conformally coat (nano) particles with ALD will be described, and a concise overview will be presented of the work that has been performed with each of them ending with a concept reactor for performing spatial ALD on fluidized particles. (C) 2014 American Vacuum Society.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.5
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

Article Materials Science, Coatings & Films

A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films

LiAo Cao, Felix Mattelaer, Timo Sajavaara, Jolien Dendooven, Christophe Detavernier

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2020)

Article Chemistry, Multidisciplinary

Boosting Room-Temperature Magneto-Ionics in a Non-Magnetic Oxide Semiconductor

Julius de Rojas, Alberto Quintana, Aitor Lopeandia, Joaquin Salguero, Jose L. Costa-Kramer, Llibertat Abad, Maciej O. Liedke, Maik Butterling, Andreas Wagner, Lowie Henderick, Jolien Dendooven, Christophe Detavernier, Jordi Sort, Enric Menendez

ADVANCED FUNCTIONAL MATERIALS (2020)

Article Physics, Applied

Ion beam modification of the Ni-Si solid-phase reaction: The influence of substrate damage and nitrogen impurities introduced by ion implantation

K. van Stiphout, F. A. Geenen, N. M. Santos, S. M. C. Miranda, V Joly, J. Demeulemeester, C. Mocuta, C. M. Comrie, C. Detavernier, L. M. C. Pereira, K. Temst, A. Vantomme

Summary: The growth of thin NiSi films via thermal reaction, modifying properties of the films through substrate damage or nitrogen impurities, and the strong interwoven nature of phase formation, texture, and morphological degradation were studied. It was found that the formation temperature and texture of NiSi films can be altered by selecting appropriate implantation conditions.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2021)

Article Nanoscience & Nanotechnology

Controlled synthesis of Fe-Pt nanoalloys using atomic layer deposition

Ranjith K. Ramachandran, Jolien Dendooven, Christophe Detavernier

Summary: This study reports the phase and size-controlled synthesis of Fe-Pt nanoalloys using a two-step synthesis procedure, revealing the composition and structure of the nanoalloys through in situ X-ray diffraction and X-ray fluorescence measurements. By changing the total thickness of the bilayers, the size of the resulting bimetallic nanoparticles can be tuned, providing a new approach for the preparation of alloy nano materials.

NANOTECHNOLOGY (2021)

Article Chemistry, Physical

Tuning size and coverage of Pd nanoparticles using atomic layer deposition

Ji-Yu Feng, Ranjith K. Ramachandran, Eduardo Solano, Matthias M. Minjauw, Michiel Van Daele, Andre Vantomme, Daniel Hermida-Merino, Alessandro Coati, Hilde Poelman, Christophe Detavernier, Jolien Dendooven

Summary: The research investigated the morphology evolution of supported palladium nanoparticles during plasma-enhanced atomic layer deposition, using palladium hexafluoroacetylacetonate as precursor. By adding cycles of a three-step process before the standard process, the initial areal density of Pd NPs increased. The use of O2 plasma exposure as an additional co-reactant also influenced the nucleation density, particle coalescence, and shape of the deposited particles. Based on the findings, a synthetic approach enabling precise control of Pd nanoparticle dimensions and coverage was developed.

APPLIED SURFACE SCIENCE (2021)

Article Nanoscience & Nanotechnology

Atomic Layer Deposition on Polymer Thin Films: On the Role of Precursor Infiltration and Reactivity

Robin R. Petit, Jin Li, Babs Van de Voorde, Sandra Van Vlierberghe, Philippe F. Smet, Christophe Detavernier

Summary: Inorganic barriers grown by ALD can solve stability issues caused by foreign species permeating into polymer thin films. The infiltration of ALD species into polymers can also modify their properties. Results show that the deposition temperature and the location of functional groups in the polymer chain have the strongest influence on the infiltration behavior.

ACS APPLIED MATERIALS & INTERFACES (2021)

Article Materials Science, Coatings & Films

Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth

Andreas Werbrouck, Kevin van de Kerckhove, Diederik Depla, Dirk Poelman, Philippe F. Smet, Jolien Dendooven, Christophe Detavernier

Summary: Plasma species concentration increases linearly with power, and the atom-to-ion ratio increases with power and gas flow. Increased pressure can significantly reduce ion flux towards the substrate, and the formation of bubbles or blisters in films can be linked to ion-induced compressive stress.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2021)

Article Chemistry, Applied

In vacuo XPS investigation of surface engineering for lithium metal anodes with plasma treatment

Bo Zhao, Jin Li, Maxime Guillaume, Jolien Dendooven, Christophe Detavernier

Summary: The study reveals that the pristine Li surface is naturally covered with an oxidized layer, which can be efficiently removed by plasma treatments to obtain different surface compositions like metallic Li, Li2O, and Li3N. Among these, the Li3N coated Li electrode shows superior stability in symmetric Li metal batteries.

JOURNAL OF ENERGY CHEMISTRY (2022)

Article Materials Science, Multidisciplinary

A combinatorial study of SiGeAsTe thin films for application as an Ovonic threshold switch selector

Wouter Devulder, Daniele Garbin, Sergiu Clima, Gabriele Luca Donadio, Andrea Fantini, Bogdan Govoreanu, Christophe Detavernier, Larry Chen, Michael Miller, Ludovic Goux, Sven Van Elshocht, Johan Swerts, Romain Delhougne, Gouri Sankar Kar

Summary: This paper investigates the thermal stability of a wide range of ternary and quaternary (Si)GeAsTe alloy thin films. The study shows that Si doping improves the thermal stability and capping the films with a W cap avoids material loss. An optimal chalcogenide composition of As50Te20Ge20Si10 is identified for electrical characterization.

THIN SOLID FILMS (2022)

Article Nanoscience & Nanotechnology

Nanoporous Silica-Alumina Films Fabricated on Silicon Photonic Chips for Selective Ammonia Sensing

Yanlu Li, Claudio Bellani, Nebiyu Yebo, Jolien Dendooven, Jin Won Seo, Christophe Detavernier, Roel Baets, Johan A. Martens, Sreeprasanth Pulinthanathu Sree

Summary: This study demonstrates the integration of nanoporous silica-alumina films on silicon nanophotonic chips for selective NH3 detection. The reversible nature of the sensor is investigated in relation to preadsorbed water vapor.

ACS APPLIED NANO MATERIALS (2022)

Article Chemistry, Physical

Spatially Templated Nanolines of Ru and RuO2 by Sequential Infiltration Synthesis

Nithin Poonkottil, Eduardo Solano, Arbresha Muriqi, Matthias M. Minjauw, Matthias Filez, Michael Nolan, Christophe Detavernier, Jolien Dendooven

Summary: Nanoscale patterning of inorganics is crucial for advanced electronic, photonic, and energy devices. In this study, the sequential infiltration synthesis (SIS) method was used to fabricate Ru metal nanostructures in polystyrene-block-polymethyl methacrylate (PS-b-PMMA) templates without any pretreatment. The selective interaction between RuO4 and the aromatic C=C and C-H groups in PS allows for the formation of Ru nanolines.

CHEMISTRY OF MATERIALS (2022)

Article Engineering, Electrical & Electronic

Effects of post metallization annealing on Al2O3 atomic layer deposition on n-GaN

Liad Tadmor, Enrico Brusaterra, Eldad Bahat Treidel, Frank Brunner, Nicole Bickel, Sofie S. T. Vandenbroucke, Christophe Detavernier, Joachim Wuerfl, Oliver Hilt

Summary: This work investigates the properties and robustness of post metallization annealed Al2O3 atomic layers on n-type GaN in terms of chemical, physical, and electrical aspects. The study demonstrates a gate-first process flow with subsequent ohmic contact formation at temperatures up to 600 degrees C using planar metal insulator capacitors. The results show no new bonds or crystallization in the Al2O3 layer due to annealing, but degradation is observed at 600 degrees C. The annealing temperature affects the oxide depletion and deep depletion capacitances, resulting in a reduction of the flat band voltage to zero.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2023)

Article Chemistry, Physical

Surface mobility and impact of precursor dosing during atomic layer deposition of platinum: in situ monitoring of nucleation and island growth

Jolien Dendooven, Michiel Van Daele, Eduardo Solano, Ranjith K. Ramachandran, Matthias M. Minjauw, Andrea Resta, Alina Vlad, Yves Garreau, Alessandro Coati, Giuseppe Portale, Christophe Detavernier

PHYSICAL CHEMISTRY CHEMICAL PHYSICS (2020)

Article Chemistry, Physical

Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy

Sofie S. T. Vandenbroucke, Elisabeth Levrau, Matthias M. Minjauw, Michiel Van Daele, Eduardo Solano, Rita Vos, Jolien Dendooven, Christophe Detavernier

PHYSICAL CHEMISTRY CHEMICAL PHYSICS (2020)

Article Chemistry, Physical

The co-reactant role during plasma enhanced atomic layer deposition of palladium

Ji-Yu Feng, Matthias M. Minjauw, Ranjith K. Ramachandran, Michiel Van Daele, Hilde Poelman, Timo Sajavaara, Jolien Dendooven, Christophe Detavernier

PHYSICAL CHEMISTRY CHEMICAL PHYSICS (2020)

暂无数据